|
BIBLIOGRAPHY [1]C. Nicopoulos, S. Srinivasan, A. Yanamandra, D. Park, V. Narayanan, C. Das, and M. Irwin, “On the effects of process variation in network-on-chip architectures,” IEEE Transactions on Dependable and Secure Computing, vol. 7, no. 3, pp. 240-254, July-Sept. 2010. [2]L. Benini and G. De Michei, “Network on chips: a new SoC paradigm,” IEEE Trans. Computer, vol. 35, no. 1, pp. 70-78, Jan. 2002. [3]D. James, “Intel Ivy Bridge unveiled — The first commercial tri-gate, high-k, metal-gate CPU,” in Proc. of IEEE 2012 Custom Integrated Circuits Conference, San Jose, CA, 2012, pp. 1-4. [4]R. Marculescu, U. Y. Ogras, L. S. Peh, N. E. Jerger, and Y. Hoskote, “Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives,” IEEE Transactions on Computer, vol. 28, no. 1, pp. 3-21, Jan. 2009. [5]Y. Liu, Y. Tan and J. Hang, “Key Problems on Network-on-Chip,” in Proc. of 2007 10th IEEE International Conference on Computer-Aided Design and Computer Graphics, Beijing, 2007, pp. 549-552. [6]A. Bose, P. Ghosal, and S. P. Mohanty, “A Low Latency Scalable 3D NoC Using BFT Topology with Table Based Uniform Routing,” in Proc. of 2014 IEEE Computer Society Annual Symposium on VLSI, Tampa, FL, 2014, pp. 136-141. [7]V. F. Pavlidis and E. G. Friedman, “3-D Topologies for Networks-on-Chip,” IEEE Trans. VLSI Systems, vol. 15, no. 10, pp. 1081-1090, Oct. 2007. [8]N. V. Anjali and K. Somasundaram, “Design and evaluation of virtual channel router for mesh-of-grid based NoC,” in Proc. of 2014 International Conference on Electronics and Communication Systems, Coimbatore, 2014, pp. 1-5. [9]W. J. Dally, “Virtual-channel flow control,” in Proc. of 17th Annual International Symposium on Computer Architecture, Seattle, 1990, pp. 60-68. [10]N.E. Jerger and L.-S. Phe, On-Chip Networks, Madison, U.S.: Morgan & Claypool, 2009. [11]H. S. Karthik and B. M. K. Naik, “Glitch elimination and optimization of dynamic power dissipation in combinational circuits,” in Proc. of Advances in Electronics, Computers and Communications (ICAECC), Bangalore, 2014, pp. 1-6. [12]P. P. Pande, C. Grecu, M. Jones, A Ivanov, and R Saleh, “Performance evaluation and design trade-offs for network-on-Chip interconnect architectures,” IEEE Transaction on Computers, vol. 54, no. 8, pp. 1025-1040, Aug. 2005. [13]J. Liu, J. Harkin, Y. Li, and L. P. Maguire, “Fault-Tolerant Networks-on-Chip Routing With Coarse and Fine-Grained Look-Ahead,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 2, pp. 260-273, Feb. 2016. [14]R. Barco, P. Lazaro, and P. Munoz, “A unified framework for self-healing in wireless networks,” IEEE Communications Magazine, vol. 50, no. 12, pp. 134-142, Dec. 2012. [15]E. J. Khatib, R. Barco, P. Munoz, I. D. La Bandera, and I. Serrano, “Self-healing in mobile networks with big data,” IEEE Communications Magazine, vol. 54, no. 1, pp. 114-120, Jan. 2016. [16]F. Adamec and T. Fryza, “Introduction to the new packet triggered architecture for pipelined and parallel data processing,” in Proc. of IEEE 26th International Conference Radioelektronika, Apr. 2011, pp. 1-4. [17]Y. Seok Yang, J. Ho Bahn, S. Eun Lee, and N. Bagherzadeh, “Parallel and Pipeline Processing for Block Cipher Algorithms on a Network-on-Chip,” in Proc. of IEEE 6th International Conference on Information Technology: New Generations, Apr. 2009, pp. 849-854. [18]P. Poluri and A. Louri, “An improved router design for reliable on-chip networks,” in Proc. of IEEE 28th International on Parallel and Distributed Processing Symposium, Phoenix, 2014, pp. 283-292. [19]Z. Shi and A. Burns, “Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching,” in Proc. of Second ACM/IEEE International Symposium on Networks-on-Chip, Newcastle upon Tyne, 2008, pp. 161-170. [20]C. Wang, W. H. Hu, S. E. Lee, and N. Bagherzadeh, “Area and Power-efficient Innovative Network-on-Chip Architecurte,” in Proc. of 2010 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, Pisa, 2010, pp. 533-539. [21]M. A. A. El-Ghany, M. A. El-Moursy, and M. Ismail, “High throughput high performance NoC switch,” in Proc. of 2008 NORCHIP, 16-17 Nov. 2008, pp. 237-240. [22]M. Dehyadgari, M. Nickray, A. Afzali-Kusha, and Z. Navabi, “Evaluation of pseudo adaptive XY routing using an object oriented model for NOC,” in Proc. of 17th International Conference on Microelectronics, 13-15 Dec. 2005, pp. 204-208. [23]J. K. Singh, A. K. Swain, T. N. K. Reddy, and K. K. Mahapatra, “Performance evalulation of different routing algorithms in Network on Chip,” in Proc. of 2013 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia), 19-21 Dec. 2013, pp. 180-185. [24]E.-J. Chang, C.-H. Chao, K.-Y. Jheng, H.-K. Hsin, and A.-Y. Wu, “ACO-based Cascaded Adaptive Routing for traffic balancing in NoC systems,” in Proc. of 2010 International Conference on Green Circuits and Systems (ICGCS), 21-23 June 2010, pp. 317-322. [25]M. Zhong, Z. Wang, H. Gu, and S. Le Beux, “An improved minimal multicast routing algorithm for mesh-based Networks-on-Chip,” in Proc. of IEEE International Conference on Signal Processing, Communications and Computing(ICSPCC), 5-8 Aug. 2014, pp. 775-779. [26]Y. Nishikawa, M. Koibuchi, H. Matsutani, and H. Amano, “A Deadlock-Free Non-minimal Fully Adaptive Routing Using Virtual Cut-Through Switching,” in Proc. of 2010 IEEE Fifth International Conference on Networking, Architecture and Storage (NAS), 15-17 July 2010, pp. 431-438. [27]G. Du, D. Liang, Y. Song, and D. Zhang,, “A dynamic and mixed routing algorithm for 2D mesh NoC,” in Proc. of IEEE International Conference on Anti-Counterfeiting, Security and Identification (ASID), 25-27 Oct. 2013, pp. 1-4. [28]T. R. da Rosa, V. Larrea, N. Calazans, and F.G. Moraes, “Power consumption reduction in MPSoCs through DFS,” in Proc. of 2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI), 2012, pp. 1-6. [29]N. Jafarzadeh, M. Palesi, A. Khademzadeh, and A. Afzali-Kusha, “Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 22, no. 3, pp. 675-685, March 2014. [30]K. Swaminathan, G. Lakshminarayanan, Frank Lang, Maher Fahmi, and Seok-Bum Ko,“Design of a low power network interface for Network on chip,” in Proc. of 2013 26th Annual IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), 2013, pp. 1-4. [31]H. Gu, J. Xu, and W. Zhang, “A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip,” in Proc. of Design, Automation & Test in Europe Conference & Exhibition(DATE), 2009, pp. 3-8. [32]T. Y. Lee and C. H. Huang, “Design of Smart Power-Saving Architecture for Network on Chip,” in Proc. of VLSI Design, 6 Aug., 2014, 10 pages. [33]A. A. Salem, M. A. Abd EI ghany and K. Hofmann, “Coding algorithms for network on a chip,” in Proc. of IEEE 26th International Conference on SoC, Sept. 2013, pp. 216-221. [34]J. C. S. Palma, L. S. Indrusiak, F. G. Moraes, R. Reis, and M. Glesner, “Reducing the power consumption in networks-on-chip through data coding schemes,” in Proc. of 14th IEEE International Conference on Electronics, Circuits and Systems(ICECS), 2007, pp. 1007-1010. [35]R. Ezz-Eldin, M. A. El-Moursy, and A. M. Refaat, “Low leakage power NoC switch using AVC,” in Proc. of IEEE International Symposium on Circuits and Systems (ISCAS), 20-23 May, 2012, pp. 2549-2552. [36]N. Choudhary, M.S. Gaur, V. Laxmi, and V. Singh, “GA based congestion aware topology generation for application specific NoC,” in Proc. of 2011 Sixth IEEE International Symposium on Electronic Design, Test and Application (DELTA), 2011, pp. 93-98. [37]M. Fattah , A. Manian, A. Rahimi, and S. Mohammadi, “A high throughput low power FIFO used for GALS NoC buffers,” in Proc. of IEEE Annual Symposium on VLSI (ISVLSI), pp. 333-338, 2010. [38]W. Fu, J. Shao, B. Xie, T. Chen, and L. Liu, “Design of a High-Throughput NoC Router with Neighbor Flow Regulation,” in Proc. of 2012 IEEE 14th International Conference on High Performance Computing and Communication & 2012 IEEE 9th International Conference on Embedded Software and Systems, Liverpool, 2012, pp. 493-500. [39]M. Tassori, M. Tassori, and M. Mossavi, “Adaptive data compression in NoC archiectures for power optimization,” Journal of Internation Review on Computer and Software, vol. 5, no. 5, pp. 540-547, Sep. 2010. [40]C. Wu, S. Chai, Y. b. Li, and Z. m. Yang, “Design of a Dual-Switching Mode NOC Router Microarchitecture,” in Proc. of 2010 International Conference on Electrical and Control Engineering, Wuhan, 2010, pp. 2733-2736. [41]A.K. Lusala and J. Legat, “A hybrid NoC combining SDM-TDM based circuit-switching with packet-switching for real-time applications,” in Proc. of 2012 IEEE 10th International on New Circuits and Systems Conference (NEWCAS), 2012, pp. 17-20. [42]Y. J. Yoon, N. Concer, M. Petracca, and L. Carloni, “Virtual Channels vs. Multiple Physical Networks: a Comparative Analysis,” in Proc. of 47th ACM/IEEE Design Automation Conference (DAC), 13-18 June 2010, pp. 162-165. [43]N. Onizawa, A. Matsumoto, T. Funazaki, and T. Hanyu, “High-Throughput Compact Delay-Insensitive Asynchronous NoC Router,” IEEE Trans. Computers, vol. 63, no. 3, pp. 637-649, Mar. 2014. [44]A. T. Tran and B. M. Baas, “Achieving High-Performance On-Chip Networks With Shared-Buffer Routers,” IEEE Transactions on Circuits and Systems, vol. 22, no. 6, pp. 1063-8210, June 2013. [45]A. K. Mishra, O. Mutlu, and C. R. Das, “A heterogeneous multiple network-on-chip design: An application-aware approach,” in Proc. of IEEE Design Automation Conference, May 2013, pp. 1-10. [46]K. Latif, A. M. Rahmani, K. R. Vaddina, T. Seceleanu, P. Liljeberg, and H. Tenhunen, “Enhancing performance sustainability of fault tolerant routing algorithms in NoC-based architectures,” in Proc. of 14th Euromicro Conference on Digital System Design (DSD), Oulu, 2011, pp. 626-633. [47]P. Poluri and A. Louri, “A soft error tolerant network-on-chip router pipeline for multi-core systems,” IEEE Trans. on Computer Architecture Letters, vol. 14, no. 2, pp. 107-110, July-Dec. 1 2015. [48]F. Refan, H. Alemzadeh, S. Safari, P. Prinetto, and Z. Navabi, “Reliability in application specific mesh-based NoC architectures,” in Proc. of 14th IEEE International on On-Line Testing Symposium, Rhodes, 2008, pp. 207-212. [49]L. Xie, K. Mei, and Y. Li, “REPAIR: a reliable partial-redundancy-based router in NoC,” in Proc. of IEEE Eighth International Conference on Networking, Architecture and Storage (NAS), 17-19 July 2013, pp. 173-177. [50]A. Charif, N. E. Zergainoh, and M. Nicolaidis, “Addressing transient routing errors in fault-tolerant Networks-on-Chips,” in Proc. of 21th IEEE European Test Symposium (ETS), Amsterdam, 2016, pp. 1-6. [51]P. Yan, S. Jiang, and R. Sridhar, “A novel fault-tolerant router architecture for network-on-chip reconfiguration,” in Proc. of 28th IEEE International System-on-Chip Conference, Beijing, 2015, pp. 292-297. [52]S. Y. Jiang, G. Luo, Y. Liu, S.S. Jiang, and X.T. Li, “Fault-tolerant routing algorithm simulation and hardware verification of NoC,” IEEE Trans. on Applied Superconductivity, vol. 24, no. 5, pp. 1-5, Oct. 2014. [53]S. D. Mediratta and J. Draper, “Characterization of a fault-tolerant NoC router,” in Proc. of IEEE International Symposium on Circuits and Systems, New Orleans, 2007, pp. 381-384. [54]S. Jovanović, C. Tanougast, S. Weber and C. Bobda, “A new deadlock-free fault-tolerant routing algorithm for noc interconnections,” in Proceeding of 19th International Conference on Field Programmable Logic and Applications (FPL 09), Aug. 31-Sept. 2, 2009, pp. 326-331. [55]P. Ghosh, A. Ravi, and A. Sen, “An Analytical Framework with Bounded Deflection Adaptive Routing for Networks-on-Chip,” in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2010, pp. 363-368. [56]R. Alizadeh, M. Saneei, and M. Ebrahimi, “Fault-tolerant circular routing algorithm for 3D-NoC,” in Proc. of 2014 International Congress on Technology, Communication and Knowledge (ICTCK), Mashhad, 2014, pp. 1-7. [57]A. Charif, N. E. Zergainoh, and M. Nicolaidis, “MUGEN: A high-performance fault-tolerant routing algorithm for unreliable Networks-on-Chip,” in Proc. of 2015 IEEE 21st International On-Line Testing Symposium (IOLTS), Halkidiki, 2015, pp. 71-76. [58]C. Iordanou, V. Soteriou, and K. Aisopos, “Hermes: Architecting a top-performing fault-tolerant routing algorithm for Networks-on-Chips,” in Proc. of 2014 IEEE 32nd International Conference on Computer Design (ICCD), Seoul, 2014, pp. 424-431. [59]H. K. Hsin, E. J. Chang, C. A. Lin, and A. Y. Wu, “Ant Colony Optimization-Based Fault-Aware Routing in Mesh-Based Network-on-Chip Systems,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 11, pp. 1693-1705, Nov. 2014. [60]K. Geetha and N. Ammasai Gounden, “Compressed instruction set coding (CISC) for performance optimization of hand held devices,” in Proc. of IEEE International Conference on Advanced Computing and Communications, Dec. 2008, pp. 241-247. [61]I. Kadayif and M. T. Kandemir, “Instruction compression and encoding for low-power systems,” in Proc. of 15th IEEE International Conference on ASIC and SOC, Sept. 2002, pp. 301-305. [62]W. C. Tsai, Y. C. Lan, Y. H. Hu, and S. J. Chen, “Networks on Chips: structure and design methodologies,” Journal of Electrical and Computer Engineering, vol. 2012, pp. 1-15, Jan. 2012. [63]T. Mak, P. Y. K. Cheung, K. P. Lam, and W. Luk, “Adaptive Routing in Network-on-Chips Using a Dynamic-Programming Network,” IEEE Transactions on Industrial Electronics, vol. 58, no. 8, pp. 3701-3716, Aug. 2011. [64]D. Matos, M. Costa, L. Carro, and A. Susin, “Network interface to synchronize multiple packets on NoC-Based Systems-on-Chip,” in Proc. of 18th IEEE/IFIP VLSI System on Chip, Sept. 2010, pp. 31-36. [65]D. Yiping and T. Watanabe, “Network on chip architecture for BP neural network, ” in Proceeding of 2008 International Conference on Communications, Circuits and Systems Proceedings (ICCCAS 2008), 25-27 May, 2008, pp. 964-968. [66]M. Paschou, A. Psarras, C. Nicopoulos, and G. Dimitrakopoulos, “CrossOver: Clock domain crossing under virtual-channel flow control,” in Proc. of 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, 2016, pp. 1183-1188. [67]J. Alshraiedeh and A. Kodi, “An adaptive routing algorithm to improve lifetime reliability in NoCs architecture,” in Proc. of 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Storrs, CT, 2016, pp. 127-130. [68]X. Y. Xiang and N. F. Tzeng, “Deflection Containment for Bufferless Network-on-Chips,” in Proc. of 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS), Chicago, IL, 2016, pp. 113-122. [69]J. Zhou, H. Li, T. Wang, Y. Wang, and X. Li, “TURO: A lightweight turn-guided routing scheme for 3D NoCs,” in Proc. of 2015 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS XVIII), Yokohama, 2015, pp. 1-3. [70]A. Monemi, C. Y. Ooi, M. Palesi, and M. N. Marsono, “Low latency network-on-chip router using static straight allocator,” in Proc. of 2016 3rd International Conference on Information Technology, Computer, and Electrical Engineering (ICITACEE), Semarang, Indonesia, 2016, pp. 2-9. [71]Z. L. Qian, D. C. Juan, P. Bogdan, C. Y. Tsui, D. Marculescu, and R. Marculescu, “A Support Vector Regression (SVR)-Based Latency Model for Network-on-Chip (NoC) Architectures,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 3, pp. 471-484, March 2016. [72]S. Z. Sleeba, J. Jose, and M. M. G., “HiPAD: High Performance Adaptive Deflection Router for On-Chip Mesh Networks,” in Proc. of 2015 Fifth International Conference on Advances in Computing and Communications (ICACC), Kochi, 2015, pp. 16-19. [73]G. Jiang, Z. Li, F. Wang, and S. Wei, “A Low-Latency and Low-Power Hybrid Scheme for On-Chip Networks,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 4, pp. 664-677, April 2015. [74]L. Zeng, T. Pan, X. Jiang, and T. Watanabe, “A performance enhanced adaptive routing algorithm for 3D Network-on-Chips,” in Proc. of TENCON 2015 - 2015 IEEE Region 10 Conference, Macao, 2015, pp. 1-4. [75]A. V. Bhaskar and T. G. Venkatesh, “A study of the effect of virtual channels on the performance of Network-on-Chip,” in Proc. of 2015 IEEE Student Conference on Research and Development (SCOReD), Kuala Lumpur, 2015, pp. 255-260. [76]G. R. Jonna, J. Jose, R. Radhakrishnan, and M. Mutyam, “Minimally buffered single-cycle deflection router,” in Proc. of 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, 2014, pp. 1-4. [77]W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers, 2004. ISBN 0122007514 9780122007514.
|