跳到主要內容

臺灣博碩士論文加值系統

(216.73.216.108) 您好!臺灣時間:2025/09/02 05:27
字體大小: 字級放大   字級縮小   預設字形  
回查詢結果 :::

詳目顯示

: 
twitterline
研究生:林毓柔
研究生(外文):Lin, Yu-Rou
論文名稱:應用於2.5D異質整合生物感測微系統之矽載板資料傳輸
論文名稱(外文):On-Interposer Data Communication for 2.5D Heterogeneously Integrated Bio-Sensing Microsystems
指導教授:莊景德
指導教授(外文):Chuang, Ching-Te
學位類別:碩士
校院名稱:國立交通大學
系所名稱:電子工程學系 電子研究所
學門:工程學門
學類:電資工程學類
論文種類:學術論文
論文出版年:2013
畢業學年度:102
語文別:英文
論文頁數:111
中文關鍵詞:2.5D矽基板上匯流排序列周邊介面階層式封包技術虛擬多重主設備2.5D異質整合
外文關鍵詞:2.5Don-interposer busserial peripheral interfacehierarchical packetization techniquepseudo multi-master2.5D heterogeneously integrated
相關次數:
  • 被引用被引用:0
  • 點閱點閱:264
  • 評分評分:
  • 下載下載:17
  • 收藏至我的研究室書目清單書目收藏:0
2.5D製程整合使得晶片與晶片之間的資料傳遞就像是在晶片內部的導線上傳遞,因為矽基板(interposer)可以使晶片對晶片的資料溝通變得更快速且擁有更低的功耗。本篇論文提出並設計出一個矽基板上匯流排(on-interposer bus),稱作μ-SPI(serial peripheral interface),此匯流排架構可以提供2.5D異質整合系統低功耗訊號傳遞。

μ-SPI的協定架構是利用階層式封包技術來設計,而此架構是建立在傳統SPI之物理層基礎上。在μ-SPI中,資料的寬度可從一個至八個位元;此外,為了要降低封包標頭(packet header)的長度,我們利用階層式封包技術將標頭分為兩層,第一層的標頭長度是固定的,可以用來表示此封包的功能;第二層標頭的長度是可變的,可以用來提供大範圍的資料長度指示、多個從設備的選擇以及不同的資料地址長度。除此之外,我們提出一個虛擬多重主設備(pseudo multi-master)來取代傳統多重主設備的仲裁電路(arbitration circuits),透過單一主設備的控制權傳輸,可用來設定在主從模組中的主從標誌(MS_Flag);因此,在同一時間只會有一個主設備存在。此外,我們將此論文所提出的μ-SPI應用在一個2.5D異質整合之生物感測微系統上,當矽基板上的電壓及操作頻率分別為1.8V及100 KHz時,所提出的矽基板上匯流排之平均功耗僅23.2 µW。
2.5D integration allow to inter-chip communication between multiple chips with intra-chip interconnects. The interposer provides fast and low power chip-to-chip communication. In this thesis, an on-interposer bus (μ-SPI, serial peripheral interface) is presented for providing low power data communication in 2.5D heterogeneous integrations.

The protocol of μ-SPI is designed by a hierarchical packetization technique based on the physical layer of SPI. The data width of μ-SPI is from 1-bit to 8-bit. To reduce the overhead of the header, the header of a packet is divided into two levels by the hierarchical packetization technique. The length of 1st level header is fixed for indicating the functionality of this packet. Based on the information of 1st level header, the 2nd level header is variable for providing wide range of the burst length, broadcasting selection and variable address. Moreover, a pseudo multi-master is proposed to replace the arbitration circuits via master passing. Only 1 master can exist by controlling MS_Flag in master/slave modules. The proposedμ-SPI is utilized in a 2.5D heterogeneously integrated bio-sensing microsystem. The average power of this on-interposer bus is only 23.2 µW at 1.8V and 100 KHz.
Content
Chapter 1 Introduction 1
1.1 Motivation 2
1.2 Research Goals and Major Contributions 3
1.3 Thesis Organization 5
Chapter 2 Overview of 2.5D/3D Integrations 6
2.1 Benefits of 2.5D/3D Integrations 6
2.2 Categories of 3D Integration Technology 10
2.3 TSV 3D Integrations 14
2.3.1 Stacking Approach 15
2.3.2 Stacking Orientation 15
2.3.3 Bonding Methods 17
2.3.4 TSV Formation 18
2.3.5 Categories of TSV Scheme 19
2.4 TSV 2.5D Integration 20
2.4.1 Process Characteristics of 2.5D Integration 21
2.4.2 TSV Technology for 2.5D Integration 25
2.4.3 Wafer-Level Package for 2.5D Integration 26
2.4.4 2.5D Design Methodology 28
Chapter 3 Analysis and Modeling of Interposer in 2.5D Integration 30
3.1 Physical and Electrical Modeling of TSV 30
3.2 Physical and Electrical Modeling of Interposer 31
3.2.1 Coupled Lines on Interposer 32
3.2.2 ASE Equivalent Electric Model 35
3.3 Timing Analysis of On-Interposer Bus 36
3.4 Power Analysis of On-Interposer Bus 41
3.5 Timing &; Power Models of On-Interposer Bus 47
3.6 Summary 50
Chapter 4 μ-SPI: Low Power On-Interposer Bus 51
4.1 Inter-Chip Communication in 2.5D Integration 51
4.2 Features of Synchronous Protocols: SPI &; I2C 52
4.2.1 SPI Overview 53
4.2.2 I2C Overview 56
4.2.3 SPI vs. I2C 59
4.3 μ-SPI: A Low Power On-Interposer Bus 61
4.3.1 Protocol of μ-SPI 61
4.3.2 Parallel Interface &; Shared Slave Selection Pin 62
4.3.3 Hierarchical Header for μ-SPI 63
4.3.4 Pseudo Multi-Master 69
4.3.5 Receive-Controlled Acknowledgement 71
4.4 Summary 72
Chapter 5 μ-SPI for 2.5D Heterogeneously Integrated Neural-Sensing Microsystems 74
5.1 Abstraction Layers of μ-SPI 74
5.1.1 Physical Layer 74
5.1.2 Data-Link Layer 78
5.1.3 Transport Layer 79
5.2 Slave Design for μ-SPI 80
5.3 Master-Slave Design for μ-SPI 84
5.4 Experimental Results for μ-SPI 86
5.5 μ-SPI for 2.5D Heterogeneously Integrated Neural-Sensing Microsystems 93
5.6 Summary 100
Chapter 6 Conclusions and Future Works 102
6.1 Conclusions 102
6.2 Future Works 103
References 105


References
Chapter 1
[1.1] Yole Development. (2007). 3DIC &; TSV Report [Online].
http://www.yole.fr/pagesan/products/reprot/sample/3dic.pdf
[1.2] W. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. Sule, M. Steer, and P. Franzon, “Demystifying 3-D ICs: The pros and cons of going vertical,” IEEE Design &; Test of Computers, vol. 22, no. 6, pp. 498-510, Nov. 2005.
[1.3] M.-J. Wang, C.-Y. Hung, C.-L. Kao, P.-N. Lee, C.-H. Chen, C.-P. Hung and H.-M. Tong, “TSV technology for 2.5D IC solution,” IEEE Electronic Components and Technology Conference (ECTC), pp.284-288, 2012.
[1.4] J.U. Knickerbocker, P.S. Andry, E, Colgan, B. Dang, T. Dickson, X. Gu, C. Haymes, C. Jahnes, Y. Liu, J. Maria, P.J. Polastre, C.K. Tsang, L. Turlapati, B.C. Webb, L. Wiggins and S.L. Wright, “2.5D and 3D technology challenges and test vehicle demonstrations," IEEE Electronic Components and Technology Conference (ECTC), pp.1068-1076, 2012.
[1.5] J.U. Knickerbocker, “Invited talk: 2.5D and 3D technology advancements for systems,” IEEE Workshop on Microelectronics and Electron Devices (WMED), pp.xiv-xv, 2013.
Chapter 2
[2.1] E. Beyne, “The rise of the 3rd dimension for system integration,” IEEE International Interconnect Technology Conference, pp.1-5, 2006.
[2.2] R. R. Tummala, V. Sundaram, R. Chatterjee, P.M. Raj, N. Kumbhat, V. Sukumaran, V. Sridharan, A. Choudury, Q. Chen, and T. Bandyopadhyay, “Trend from ICs to 3D ICs to 3D Systems,” IEEE Custom Integrated Circuits Conference, pp. 439-444, Sept. 2009.
[2.3] Yole Development. (2008). 3DIC &; TSV Report [Online]. http://www.yole.fr/pagesan/products/report_sample/3dic.pdf
[2.4] M. S. Bakir, C. King, D. Sekar, H. Thacker, B. Dan, G. Huang, A. Naeemi, and J. D. Meindl, “3D heterogeneous integrated systems: liquid cooling, power delivery, and implementation,” IEEE Conf. Custom Integrated Circuits Conference, 2008, pp. 663-670.
[2.5] T. Whipple, T. Kukal, K. Felton, and V. Gerousis, “IC-package co-design and analysis for 3D-IC designs,” IEEE International Conference on 3D System Integration, pp. 1-6, 2009.
[2.6] V.F. Pavlidis and E.G. Friedman, “Interconnect-based design methodologies for three-dimensional integrated circuits,” IEEE Proceedings, vol. 97 no. 1, pp. 123-140, Jan. 2009.
[2.7] M. Motoyoshi, “Through-silicon via (TSV),” IEEE Proceedings, vol. 97, no. 1, pp.43-48, Jan. 2009
[2.8] M. Koyanagi, T. Fukushima, and T. Tanaka, “High-density through silicon vias for 3-D LSIs,” IEEE Proceedings, vol. 97 no. 1, pp. 49-59, Jan. 2009.
[2.9] P. Marchal, B. Bougard, G. Katti, M. Stucchi, W. Dehaene, A. Papanikolaou, D. Verkest, B. Swinnen, and E. Beyne, “3-D technology assessment: path-finding the technology/design sweet-spot,” IEEE Proceedings, vol. 97, no. 1, pp. 96-107, Jan. 2009.
[2.10] K. N. Chen, and C. S. Tan, “Integration schemes and enabling technologies for three-dimensional integrated circuits,” IET Computers &; Digital Techniques, vol. 5, no. 3, pp.160-168, May 2011.
[2.11] G.V. der Plas, P. Limaye, I. Loi, A. Mercha, H. Oprins, C. Torregiani, S. Thijs, D. Linten, M. Stucchi, G. Katti, D. Velenis, V. Cherman, B. Vandevelde, V. Simons, I. De Wolf, R. Labie, D. Perry, S. Bronckers, N. Minas, M. Cupac, W. Ruythooren, J. Van Olmen, A. Phommahaxay, M. Broeck, A. Opdebeeck, M. Rakowski, B. De Wachter, M. Dehan, M. Nelis, R. Agarwal, A. Pullini, F. Angiolini, L. Benini, W. Dehaene, Y. Travaly, E. Beyne and P. Marchal, “Design issues and considerations for low-cost 3-D TSV IC technology,” IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp. 293-307, Jan. 2011.
[2.12] M. Matsuo, N. Hayasaka, K. Okumura, E. Hosomi and C. Takubo, “Silicon Interposer Technology for High-density Package,” IEEE Electronic Components and Technology Conference (ECTC), pp. 1455-1459, May 2000.
[2.13] X. Zhang, T. Chai, J. H. Lau, C. S. Selvanayagam, K. Biswas, and S. Liu, “Development of Through Silicon Via (TSV) Interposer Technology for Large Die (21x21mm) Fine-pitch Cu/low-k FCBGA Package,” IEEE Electronic Components and Technology Conference (ECTC), pp. 305-312, 2009.
[2.14] J. H. Lau, “TSV Interposers: The Most Cost-Effective Integrator for 3D IC Integration,” Chip Scale Review, Sept. 2011.
[2.15] P. Dorsey, “Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency,” WP380 (v1.0), Oct. 2010.
[2.16] R.-S. Cheng, Y.-P. Hung, T.-Y. Kuo, Y.-M. Lin, F.-J. Leu, and T.-C. Chang, “Process characteristics of a 2.5D silicon module using embedded technology as a feasible solution for system integration and thinner form-factor,” IEEE Electronic Components and Technology Conference (ECTC), pp.1975-1979, 2013.
[2.17] V. Sundaram, Q. Chen, T. Wang, H. Lu, Y. Suzuki, V. Smet, M. Kobayashi, R. Pulugurtha, R. Tummala, “Low cost, high performance, and high reliability 2.5D silicon interposer,” IEEE Electronic Components and Technology Conference (ECTC), pp.342-347, 2013.
[2.18] K. Murayama, M. Aizawa, K. Hara, M. Sunohara, K. Miyairi, K. Mori, J. Charbonnier, M. Assous, J.-P. Bally, G. Simon, and M. Higashi, “Warpage control of silicon interposer for 2.5D package application,” IEEE Electronic Components and Technology Conference (ECTC), pp.879-884, 2013.
[2.19] M.-J. Wang, C.-Y. Hung, C.-L. Kao, P.-N. Lee, C.-H. Chen, C.-P. Hung and H.-M. Tong, “TSV technology for 2.5D IC solution,” IEEE Electronic Components and Technology Conference (ECTC), pp.284-288, 2012.
[2.20] J. Hunt, Y.C. Ding, A. Hsieh, J, Chen and D. Huang, “Synergy between 2.5/3D development and hybrid 3D Wafer Level Fanout,” Electronic System-Integration Technology Conference (ESTC), pp.1-10, 2012.
[2.21] S.-W. Yoon, P. Tang, R. Emigh, Y. Lin, P.C. Marimuthu and R. Pendse, “Fanout flipchip eWLB (embedded Wafer Level Ball Grid Array) technology as 2.5D packaging solutions,” IEEE Electronic Components and Technology Conference (ECTC), pp.1855-1860, 2013.
[2.22] C. Zhang and G. Sun, “Fabrication cost analysis for 2D, 2.5D, and 3D IC designs,” IEEE International 3D Systems Integration Conference (3DIC), pp.1-4, 2012.
[2.23] N. Karim, Z. Yida and W. Shengmin, “Enhancing overall system functionality and performance with the right packaging solutions,” International Conference on Electronic Packaging Technology and High Density Packaging (ICEPT-HDP), pp.19-24, 2012.
[2.24] S. Tokunaga, “2.5D design methodology,” IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), pp.399-402, 2013.
Chapter 3
[3.1] R. Weerasekera, M. Grange, D. Pamunuwa, H. Tenhunen, and L.-R. Zheng, “Compact modelling of through-silicon vias (TSVs) in three-dimensional (3-D) integrated circuits,” IEEE International Conference on 3D System Integration, 2009, pp. 1-8.
[3.2] I. Savidis and E. G. Friedman, “Closed-form expressions of 3-D via resistance, inductance, and capacitance,” IEEE Transaction on Electron Device, vol. 56, no. 9, pp. 1873-1881, Sept. 2009.
[3.3] L. Liang, M. Miao, Z. Li, S. Xu, Y. Zhang and X. Zhang, “3D modeling and electrical characteristics of through-silicon-via (TSV) in 3D integrated circuits,” International Conference on Electronic Packaging Technology and High Density Packaging (ICEPT-HDP), pp.1-5, 2011.
[3.4] X. Sun, Y. Zhu, S. Ma, M. Miao, J. Chen and Y. Jin, “Electrical modeling, simulation and SPICE model extraction of TSVs in silicon interposer,” IEEE Electronics Packaging Technology Conference (EPTC), pp.171-174, 2011.
[3.5] J.-R. Tenailleau, A. Brunet, S. Borel, F. Voiron, and C. Bunel, “TSV development, characterization and modeling for 2.5-D interposer applications,” IEEE Electronic Components and Technology Conference (ECTC), pp.1439-1445, 2013.
[3.6] T. Sung, K. Chiang, D. Lee, and M. Ma, “Electrical analyses of TSV-RDL-bump of interposers for high-speed 3D IC integration,” IEEE Electronic Components and Technology Conference (ECTC), pp.865-870, 2012.
[3.7] J. Cho and J. Kim, “Signal integrity design of TSV and interposer in 3D-IC,” IEEE Fourth Latin American Symposium on Circuits and Systems (LASCAS), pp.1-4, 2013.
[3.8] K. Yoon, G. Kim, W. Lee, T. Song, J. Lee, H. Lee, K. Park, J. Kim, “Modeling and analysis of coupling between TSVs, metal, and RDL interconnects in TSV-based 3D IC with silicon interposer,” IEEE Electronics Packaging Technology Conference, pp.702-706, 2009.
[3.9] P.P. Sotiriadis and A.P. Chandrakasan, “A Bus Energy Model for Deep Submicron Technology,” IEEE Transaction on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 3, pp.341–350, Jun. 2002.
[3.10] K.-W. Kim, K.-H. Baek, N. Shanbhag, C.-L. Liu, and S.-M. Kang, “Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design”, International Conference on Computer-Aided Designs, pp. 318–321, 2000.
Chapter 4
[4.1] F. Leens, “An Introduction to I2C and SPI Protocols,” IEEE Instrumentation &; Measurement Magazine, pp. 8-13, February 2009.
[4.2] Freescale Semiconductor, Freescale M68HC05 Microcontrollers data sheets, 2008. [Online] Available http://www.freescale.com (then go to “Get Support”, “Documentation”, “Data Libraries”, “Data Sheets”).
[4.3] A. Szekacs, T. Szakall and Z. Hegykozi, “Realising the SPI communication in a multiprocessor system,” International Symposium on Intelligent Systems and Informatics, pp.213-216, 2007.
[4.4] NXP Semiconductors, I2C bus specification, Oct. 13, 2008. [Online] Available http://www.nxp.com/acrobat_download/literature/9398/39340011.pdf.
[4.5] A. K. Oudjida, M.L. Berrandjia, R. Tiar, A. Liacha and K. Tahraoui, “FPGA implementation of I2C &; SPI protocols: A comparative study,” IEEE International Conference on Electronics, Circuits, and Systems, pp.507-510, 2009.
[4.6] Renesas Electronics Corporation, Serial Peripheral Interface &; Inter-IC (SPI_I2C), Sept. 2003.
[4.7] R. Hanabusa, “Comparing JTAG, SPI and I2C,” Spansion’s application note, pp. 1-7, April 2007.
[4.8] P. Myers, “Interfacing Using Serial Protocoles: Using SPI and I2C”.
[4.9] R. Usselmann, “OpenCores SoC Bus Review,” Revision 1.0, Jan. 2001.
[4.10] T.-X. Liu and Y.-F. Wang, “IP design of universal multiple devices SPI interface,” IEEE International Conference on Anti-Counterfeiting, pp.169-172, 2011.
Chapter 5
[5.1] Lattice Semiconductor Corporation, Serial peripheral Interface, RD1075, Revision 01.1, Dec. 2010.
[5.2] Renesas Electronics, RX210 Group User’s Manual Hardware, Revision 1.10, Feb 10, 2010.
[5.3] Altera Corporation, Introduction to Quartus II, San Jose, 2003.
[5.4] A.N. Gaidhane and M.P. Khorgade, “FPGA Implementation of Serial Peripheral Interface of FlexRay Controller,” 2011 UkSim 13th International Conference on Computer Modeling and Simulation (UKSim), pp.128-132, 2011.
[5.5] A.K. Oudjida, M.J. Berrandjia, R. Tiar, A. Liacha and K. Tahraoui, “Master-Slave Wrapper Communication Protocol: A Case Study,” IEEE International Computer Systems and Information Technology Conference, pp 461-467, 2006.
[5.6] F. Leens, “Solutions for SPI Protocol Testing and Debugging in Embedded System,” Byte Paradigm’s White Paper, pp. 1-9, Revision 1.00, June 2008.
[5.7] K.C. Smith, A. Wang and L.C.Fujino, “Through the Looking Glass: Trend Tracking for ISSCC 2012,” IEEE Solid-State Circuits Magazine, vol. 4, no. 1, pp. 4-20, March 2012.
[5.8] B. Gosselin, A.E. Ayoub, J.-F. Roy, M. Sawan, F. Lepore, A. Chaudhuri and D. Guitton, “A Mixed-Signal Multichip Neural Recording Interface With Bandwidth Reduction,” IEEE Transactions on Biomedical Circuits and Systems, vol. 3, no. 3, pp. 129-141, June 2009.
[5.9] B.K. Thurgood, D.J. Warren, N.M. Ledbetter, G.A. Clark and R.R. Harrison, “A Wireless Integrated Circuit for 100-Channel Charge-Balanced Neural Stimulation,” IEEE Transactions on Biomedical Circuits and Systems, vol. 3, no. 6, pp. 405-414, Dec. 2009.
[5.10] A.M. Sodagar, K.D. Wise and K. Najafi, “A Wireless Implantable Microsystem for Multichannel Neural Recording,” IEEE Transactions on Microwave Theory and Techniques, vol. 57, no. 10, pp. 2565-2573, Oct. 2009.
[5.11] P. Cong, N. Chaimanonart, W.H. Ko and D.J. Young, “A wireless and batteryless 130mg 300µW 10b implantable blood-pressure-sensing microsystem for real-time genetically engineered mice monitoring,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 428-429, 2009.
[5.12] C.-W. Chang, P.-T. Huang, L.-C. Chou, S.-L. Wu, S.-W. Lee, C.-T. Chuang, K.-N. Chen, J.-C. Chiou, W. Hwang, Y.-C. Lee, C.-H. Wu, K.-H. Chen, C.-T. Chiu, and H.-M. Tong, “Through-Silicon-Via Based Double-Side Integrated Microsystem for Neural Sensing Applications,” IEEE International Solid-State Circuits Conference (ISSCC), pp.102-103, 2013.
Chapter 6
[6.1] H. Eslampour, M. Joshi, S.-W. Park. H.-G. Shin and J. Chung, “Advancements in Package-on-Package (PoP) technology, delivering performance, form factor &; cost benefits in next generation Smartphone processors,” IEEE Electronic Components and Technology Conference (ECTC), pp.1823-1828, 2013.
[6.2] J. Hunt, Y.C. Ding, A. Hsieh, J, Chen and D. Huang, “Synergy between 2.5/3D development and hybrid 3D Wafer Level Fanout,” Electronic System-Integration Technology Conference (ESTC), pp.1-10, 2012.
連結至畢業學校之論文網頁點我開啟連結
註: 此連結為研究生畢業學校所提供,不一定有電子全文可供下載,若連結有誤,請點選上方之〝勘誤回報〞功能,我們會盡快修正,謝謝!
QRCODE
 
 
 
 
 
                                                                                                                                                                                                                                                                                                                                                                                                               
第一頁 上一頁 下一頁 最後一頁 top
無相關論文