跳到主要內容

臺灣博碩士論文加值系統

(18.97.14.80) 您好!臺灣時間:2024/12/04 04:20
字體大小: 字級放大   字級縮小   預設字形  
回查詢結果 :::

詳目顯示

我願授權國圖
: 
twitterline
研究生:莫亦先
研究生(外文):Yi-Shien Mor
論文名稱:低介電常數材料在積體電路製程整合研究
論文名稱(外文):Study on IC Process Integration of Low-Dielectric-Constant Materials
指導教授:施敏施敏引用關係張鼎張
指導教授(外文):Simon M. SzeTing-Chang Chang
學位類別:博士
校院名稱:國立交通大學
系所名稱:電子工程系
學門:工程學門
學類:電資工程學類
論文種類:學術論文
論文出版年:2002
畢業學年度:91
語文別:英文
論文頁數:204
中文關鍵詞:低介電常數材料光阻去除同步輻射X光鈍化
外文關鍵詞:low dielectric constant materialphotoresist strippingX-raypassivation
相關次數:
  • 被引用被引用:1
  • 點閱點閱:457
  • 評分評分:
  • 下載下載:0
  • 收藏至我的研究室書目清單書目收藏:0
為了建構高效能的積體電路,增加金屬內連線的層數與縮小導線間的距離成為必然的趨勢。此將導致電子訊號在積體電路中的傳送速度大幅降低,衍生所謂電阻-電容時間延遲 (RC delay time);在內連線結構中,使用低介電常數材料是克服此問題不錯的方法。本研究將探討四種極具潛力的低介電常數材料:Hydrogen silsesquioxane (HSQ),Methylsilsesquioxane (MSQ),Hybrid- Organic-Siloxane-Polymer (HOSP) 以及 Porous Organosilicate Glass (POSG),並探討其製程整合問題及其解決方法,藉以評估其在半導體製程中的相容性。
半導體製程中,光阻去除是必要且不可避免的步驟,製程上常使用氧電漿與光阻去除液去除光阻。吾人發現氧電漿與光阻去除液會造成介電質的嚴重劣化。為了更進一步了解薄膜劣化的成因,本研究針對氧電漿與光阻去除液劣化薄膜的機制進行深入探討。實驗結果顯示,含有甲基的MSQ,HOSP及POSG薄膜對氧電漿極度敏感,氧電漿極易與存在薄膜中的甲基反應,進而形成Si-OH的原子團;另一方面,鹼性的光阻去除液也會水解HSQ與MSQ薄膜,在薄膜表面形成不穩定的懸鍵。水解的過程中,位於薄膜表面的懸鍵極易轉變成Si-OH的原子團。這些親水性Si-OH的原子團,不論由氧電漿或光阻去除液所引發,都將使受損介電質吸收更多外界環境水氣,因而造成介電質的劣化。
為了防止低介電常數材料在光阻去除製程中受到損害,本研究採用氫電漿與氨電漿作事前預防處理。在進行光阻去除步驟前,吾人利用氫電漿與氨電漿處理薄膜,使其在介電質表面形成鈍化層;此鈍化層可阻擋在光阻去除製程中,來自氧電漿與光阻去除液的損害。研究結果顯示,它不僅可鈍化位於薄膜表面不穩定的懸鍵,更將防止薄膜受損引發水氣吸附。故低介電常數材料經電漿處理後,即使再經光阻去除步驟,其優良的介電特性仍將不受影響。另一方面,對於製程中所產生的Si-OH 原子團,使用trimethylchlorosilane (TMCS) 與hexamethyldisilazane (HMDS) 處理受損薄膜,可有效除去位於薄膜表面的Si-OH 鍵結。TMCS與HMDS不但能修補受損薄膜的缺陷,消除親水性的Si-OH,更可在薄膜表面形成Si-O-SiMe3的原子團。因Si-O-SiMe3原子團頗具疏水性,故經TMCS與HMDS的化學處理後,即可將親水性的受損薄膜,修補成為具有疏水性的介電薄膜,使薄膜中水氣含量下降,進而恢復薄膜之介電特性。
在漏電傳導機制方面,對於製程中受損薄膜,本論文有深入的探討。當受損薄膜受到外加電場作用時,所吸附的水氣將解離成帶正電的氫離子與帶負電的氫氧根離子;隨著電場持續作用,這些帶電的正負離子也將隨之移動,進而引發離子傳導電流穿過整個介電薄膜。實驗結果顯示,一但受損的介電薄膜吸收外界的水氣,介電質的漏電流行為將會由原來的Schottky emission 轉變成後來的 ionic conduction,這也是造成介電質劣化最重要的原因之一。另外,由於銅導線與低介電常數材料的組合是未來的趨勢,因此本文也將探討銅金屬與低介電常數材料SILKTM的整合問題。經過BTS測試後,銅會擴散至介電薄膜中,進而導致薄膜介電特性劣化。電性分析顯示,銅擴散將導致介電質的漏電流行為由Schottky emission 轉變成Poole-Frenkel emission。
由於光阻去除製程在介電材料圖形化的過程中,可能劣化介電薄膜;為避免介電層在傳統圖形化的過程中受到損害,於是吾人發展出一種新穎的X-ray微影技術,以有效固化經X-ray曝光的薄膜。經過X-ray曝光照射後薄膜的分子結構,將由原來的籠狀結構(cage)轉變成為後來的網狀結構(network);再經適當的溶液顯影,薄膜中未經X-ray固化的區域,將迅速溶解於顯影液中,最後留下X-ray固化的薄膜,即為我們所欲完成的介電層圖形。X-ray直接圖形化的技術,可以成功地免除傳統微影技術下對於介電層所造成的傷害,其將是未來多層導體連線結構中,一種極具應用潛力的新技術。
As the device dimensions continue to shrink, interconnection delay becomes a limiting factor for increasing device speed. Since interconnection delay is the product of the resistance in metal interconnection and the capacitance between the metal lines, we have to minimize the parasitic capacitance and the resistance in interconnection. Incorporation of low-dielectric-constant materials in multilevel interconnect can effectively reduce parasitic capacitance, thus decreasing the transmission delay. In this study, four kinds of low-dielectric-constant materials are investigated: Hydrogen Silsesquioxane (HSQ), Methylsilsesquioxane (MSQ), Hybrid-Organic- Siloxane-Polymer (HOSP) and Porous Organosilicate Glass (POSG). Moreover, the effects of integration issue on the low-dielectric-constant materials are also studied to evaluate the compatibility of low-k materials on semiconductor process.
Photoresist removal is an indispensable process in integrated circuit fabrication. O2 plasma and wet stripper are commonly used to remove photoresist during photoresist stripping process. It is found that serious dielectric degradation often occurs in low-dielectric-constant materials during photoresist removal process. We have found that methyl-containing films (MSQ, HOSP and POSG) are very sensitive to O2 plasma. The O2 plasma can easily react with Si-CH3 groups in methyl-containing films, converting Si-CH3 groups into Si-OH groups. We have also found that alkalinity-containing wet stripper solution can lead to a hydrolysis reaction of HSQ and MSQ films, forming dangling bonds on the film surface. The dangling bonds on film surface can react with hydroxide ion (OH─) in wet stripper solution and form hydrophilic Si-OH groups. Consequently, these hydrophilic Si-OH groups caused by either O2 plasma or wet stripper can effectively absorb moisture in low-k film, resulting in dielectric degradation.
In order to protect low-k films from damage during photoresist stripping, H2 plasma and NH3 plasma pre-treatments are proposed. Prior to photoresist stripping process, plasma pre-treatments should be performed on low-k films so as to form a passivation layer on the surface of low-k film. The passivation layer, generated from either H2 plasma or NH3 plasma, is thought to be a barrier against O2 plasma and wet stripper damages. This layer can passivate dangling bonds on the surface of low-k film, and prevent low-k film from process-induced damage and moisture uptake during photoresist removal. As a result, low-k film can still keep its excellent properties even after photoresist removal process.
In case of Si-OH group formation during photoresist removal, the troublesome Si-OH group is the major issue to induce moisture absorption, causing dielectric degradation. Hence, we can utilize trimethylchlorosilane (TMCS) and hexamethyldisilazane (HMDS) to eliminate hydrophilic Si-OH groups on damaged surface of low-k film. Both TMCS and HMDS treatments can react with Si-OH groups. Consequently, Si-OH groups are not only eliminated to repair the damaged low-k films, but also undergoing reaction with TMCS and HMDS, which form the Si-OSi(CH3)3 groups. Owing to hydrophobic Si(CH3)3 groups, the TMCS and HMDS treatments can change the film surface from hydrophilic to hydrophobic. For this reason, moisture content in low-k film will be reduced by TMCS or HMDS treatment and thus both the leakage current density and dielectric constant can be reduced for the damaged film.
The leakage current behavior of damaged low-k film is investigated. Moisture uptake is easily induced in damaged low-k film. Thus, when the exterior electric field is applied to the moisture-absorbing film, the mobile ions (H+, OH─) supported by absorbing moisture will move, leading to the ionic conduction leakage current across the whole dielectric film. Therefore, once moisture uptake occurs in damaged film, the leakage-current mechanism will transform from Schottky emission into ionic conduction, which is the most important reason to cause dielectric degradation in dielectric film. In addition, the integration issue between copper and low-k SiLK is also studied. After bias temperature stress (BTS) test, copper will diffuse into SiLK, causing dielectric degradation. Electrical analyses show that the behavior of leakage conduction transforms from Schottky to Poole-Frenkel mechanism.
Finally, a novel X-ray direct patterning is proposed to avoid process-induced damage during photoresist removal. The X-ray can cure exposure region of HSQ and HOSP films, which transforms dielectric films from cage-like structure into network structure. Then, X ray-uncured region can be dissolved by suitable solvent to achieve the desired pattern after development. After furnace annealing, the superior dielectric characteristics of X ray-cured films (desired pattern) can be achieved. Consequently, SiO2-based low dielectric constant materials can successfully avoid dielectric degradation caused by traditional lithography. Therefore, X-ray direct patterning is a key candidate for the next generation of multilevel interconnect technology.
Contents
Chinese Abstract  ------------------------------------------------------------- i
English Abstract  ------------------------------------------------------------- iii
Contents  ---------------------------------------------------------------------- vii
Table Captions  --------------------------------------------------------------- ix
Figure Captions  -------------------------------------------------------------- x
Chapter 1 Introduction
1.1 General Background  --------------------------------------------------- 1
1.2 Low Dielectric Constant Materials ----------------------------------- 3
1.3 Organization of the Thesis  -------------------------------------------- 10
Chapter 2 Enhancing the Resistance of Low-k Hydrogen Silsesquioxane (HSQ) to Wet Stripper Damage
2.1 Motivation  ------------------------------------------------------------- 21
2.2 Experimental Procedures  --------------------------------------------- 22
2.3 Results and Discussions  ---------------------------------------------- 24
2.4 Summary  ---------------------------------------------------------------- 30
Chapter 3 Improvement in the Quality of Methyl Silsesquioxane (MSQ)
3.1 Motivation  ------------------------------------------------------------- 45
3.2 Experimental Procedures  --------------------------------------------- 46
3.3 Results and Discussions  ---------------------------------------------- 49
3.4 Summary  ---------------------------------------------------------------- 59
Chapter 4 Eliminating Dielectric Degradation of Hybrid-Organic-Siloxane-Polymer (HOSP)
4.1 Motivation  ------------------------------------------------------------- 75
4.2 Experimental Procedures  --------------------------------------------- 76
4.3 Results and Discussions  ---------------------------------------------- 79
4.4 Summary  ---------------------------------------------------------------- 86
Chapter 5 Exploration of Ultra Low-k Porous Organosilicate Glass (POSG)
5.1 Motivation  ----------------------------------------------------------- 102
5.2 Experimental Procedures  -------------------------------------------- 103
5.3 Results and Discussions  --------------------------------------------- 106
5.4 Summary  -------------------------------------------------------------- 115
Chapter 6 Leakage Current Behavior of Low-k Aromatic Polymer (SiLK) and Porous Organosilicate Glass (POSG)
6.1 Motivation  ------------------------------------------------------------- 133
6.2 Experimental Procedures  -------------------------------------------- 134
6.3 Results and Discussions  -------------------------------------------- 136
6.4 Summary  -------------------------------------------------------------- 144
Chapter 7 X-ray Direct Patterning of Low Dielectric Constant Material
7.1 Motivation  ------------------------------------------------------------- 163
7.2 Experimental Procedures  -------------------------------------------- 164
7.3 Results and Discussions  -------------------------------------------- 167
7.4 Summary  -------------------------------------------------------------- 169
Chapter 8 Conclusions and Suggestions for Future Work
8.1 Conclusions -----------------------------------------------------------181
8.2 Suggestions for future work ----------------------------------------186
References ----------------------------------- --------------------------------------------188
Vita -----------------------------------------------------------------------------------------202
Publication List ------------------- -----------------------------------------------------203
Table Captions
Chapter 1
Table 1-1 The requirements for low-k dielectrics
Table 1-2 Low dielectric constant Materials for ULSI Interconnects
Table 1-3 Summary timeline of the material requirement in future generation multilevel interconnect structure (Near Term)
Table 1-4 Summary timeline of the material requirement in future generation multilevel interconnect structure (Long Term)
Chapter 2
Table 2-1 The conditions of H2 plasma and NH3 plasma pre-treatments for hydrogen silsesquioxane
Chapter 3
Table 3-1 Types of samples after O2 plasma and wet stripper treatment
Chapter 4
Table 4-1 The conditions of H2 plasma and NH3 plasma pre-treatment for hybrid-organicpsiloxane-polymer
Chapter 6
Table 6-1 Various values of the constant βat 25℃, 100℃, 150℃ and 200℃
Table 6-2 Porous organosilicate glass (POSG) with various O2 plasma treatment time
Table 6-3 The slurry properties of chemical mechanical polishing
Table 6-4 The parameters of chemical mechanical polishing
Figure Captions
Chapter 1
Fig. 1-1 The architecture of multilevel interconnect metallization
Fig. 1-2 The cross-section of interconnect system with parasitic capacitance
Fig. 1-3 Decrease in interconnect delay and improved performance are achieved using copper and low-k dielectrics (Source: Semiconductor International 200l)
Fig. 1-4 The dispersion of dielectric constant
Fig. 1-5 Foam Formation Process
Chapter 2
Fig. 2-1 FTIR spectra of HSQ film before and after a series of bake and furnace cure steps
Fig. 2-2 The enlargement of Fig. 2-1, (a) ranging from 800 to 1500 cm-1, (b)ranging from 2000 to 2500 cm-1
Fig. 2-3 The cage-like and network structure of HSQ33
Fig. 2-4 The furnace cure process converts HSQ structure from cage-like structure into network structure
Fig. 2-5 The thickness variation of the HSQ film during the bake and cure processes
Fig. 2-6 The refractive index variation of the HSQ film during the bake and cure processes
Fig. 2-7 The stress variation of the HSQ film during the bake and cure processes
Fig. 2-8 FTIR spectra of HSQ film before and after high alkalinity-containing wet stripper solution dipping at 60℃ for 10 min
Fig. 2-9 The scheme of the hydrolysis mechanism on HSQ
Fig. 2-10 (a) The leakage current density and (b) the dielectric constant of HSQ film before and after high alkalinity-containing wet stripper solution dipping at 60℃ for 10 min
Fig. 2-11 The FTIR spectra of H2 plsama-treated HSQ film (samples H-3, H-6 and H-9) after high alkalinity-containing wet stripper solution dipping at 60℃ for 10 min
Fig. 2-12 (a) The leakage current density and (b) the dielectric constant of H2 plsama-treated HSQ film (samples H-3, H-6 and H-9) after high alkalinity-containing wet stripper solution dipping at 60℃ for 10 min
Fig. 2-13 The FTIR spectra of NH3 plsama-treated HSQ film (samples NH-3, NH-6 and NH-9) after high alkalinity-containing wet stripper solution dipping at 60℃ for 10 min
Fig 2-14 XPS diagram of (a) the as-cured HSQ film and (b) NH3-plasma treated HSQ film, respectively
Fig. 2-15 (a) The leakage current density and (b) the dielectric constant of NH3 plsama-treated HSQ film (samples NH-3, NH-6 and NH-9) after high alkalinity-containing wet stripper solution dipping at 60℃ for 10 min
Chapter 3
Fig. 3-1 The cage-like and network structure of MSQ
Fig. 3-2 The FTIR spectra of MSQ film during a series of bake and curing process
Fig. 3-3 The thickness variation of MSQ film during a series of bake and curing process
Fig. 3-4 The refractive index variation of MSQ film during a series of bake and curing process
Fig. 3-5 The stress variation of MSQ film during a series of bake and curing process
Fig. 3-6 (a) The leakage current variation and (b) the dielectric constant variation of MSQ film after argon and boron implantation treatment
Fig. 3-7 (a) The thickness variation and (b) the refractive index variation of MSQ film after argon and boron implantation treatment
Fig. 3-8 (a) The stress variation of MSQ film after argon and boron implantation treatment. (b) the scheme of the stress variation after MSQ undergoing ion implantation
Fig. 3-9 The FTIR spectra of MSQ film before and after boron and argon implantation treatments
Fig. 3-10 The temperature dependence of moisture desorption of B-implanted MSQ and as-cured MSQ
Fig. 3-11 (a) The leakage current variation and (b) the dielectric constant variation of MSQ film after O2 plasma ashing and wet stripper dipping treatment
Fig. 3-12 The FTIR spectra of MSQ film after O2 plasma ashing and wet stripper dipping treatments
Fig. 3-13 The temperature dependence of moisture desorption of sample STD after O2 plasma ashing and wet stripper dipping
Fig. 3-14 The scheme of the hydrolysis mechanism on MSQ
Fig. 3-15 The leakage current variation of (a) the O2 plasma-treated MSQ (sample O) and (b) the wet stripper-treated MSQ (sample S) after being undergone HMDS or TMCS repair
Fig. 3-16 The dielectric constant variation of (a) the O2 plasma-treated MSQ (sample O) and (b) the wet stripper-treated MSQ (sample S) after being undergone HMDS or TMCS repair
Fig. 3-17 Temperature dependence of moisture desorption from (a) the O2 plasma-treated MSQ (sample O) and (b) the wet stripper-treated MSQ (sample S) after being undergone HMDS or TMCS repair
Fig. 3-18 The FTIR spectra variation of (a) the O2 plasma-treated MSQ (sample O) and (b) the wet stripper-treated MSQ (sample S) after being undergone TMCS repair
Chapter 4
Fig. 4-1 The FTIR spectra of HOSP film before and after a series of bake and furnace cure steps
Fig. 4-2 The variations of thickness of HOSP films before and after a series of baking and curing steps
Fig. 4-3 The variations of refractive index of HOSP films before and after a series of baking and curing steps
Fig. 4-4 Stress-Temperature spectrum of as-cured HOSP film
Fig. 4-5 FTIR spectra of HOSP films undergoing different curing temperature
Fig. 4-6 The leakage current density of HOSP films undergoing different curing temperature
Fig. 4-7 The dielectric constant of HOSP films undergoing different curing temperature
Fig. 4-8 The thickness variation of HOSP films after undergoing different O2 plasma ashing time
Fig. 4-9 The FTIR spectra of HOSP films after undergoing different O2 plasma ashing time
Fig. 4-10 (a) The leakage current variation and (b) the dielectric constant variation of HOSP film after undergoing different O2 plasma ashing time
Fig. 4-11 (a) The leakage current variation and (b) the dielectric constant variation of H2 plasma-treated HOSP film after O2 plasma ashing for 9 min
Fig. 4-12 The FTIR spectra of H2 plasma-treated HOSP film after O2 plasma ashing for 9 min
Fig. 4-13 The FTIR spectra of NH3 plasma-treated HOSP film after O2 plasma ashing for 9 min
Fig. 4-14 (a) The leakage current variation and (b) the dielectric constant variation of NH3 plasma-treated HOSP film after O2 plasma ashing for 9 min
Fig. 4-15 XPS diagram of (a) the as-cured HOSP film and (b) NH3-plasma treated HOSP film, respectively
Fig. 4-16 The FTIR spectra of O2 plasma-treated HOSP film (sample O) after TMCS or HMDS repair
Fig. 4-17 The enlargement of Fig. 4-16 ranging from 750 to 1300 cm-1
Fig. 4-18 The temperature dependence of moisture desorption of samples STD, O, TMCS and HMDS
Fig. 4-19 (a) The leakage current and (b) the dielectric constant of sample STD, sample O, sample TMCS (sample O with TMCS repair) and sample HMDS (sample O with HMDS repair)
Chapter 5
Fig. 5-1 The FTIR spectra of as-spun POSG film after a series of bake and furnace cure steps
Fig. 5-2 The FTIR spectra of POSG after various furnace-annealing time
Fig. 5-3 The thickness variation at 400℃ after POSG with various furnace- annealing time
Fig. 5-4 The stress variation at 400℃ after POSG with various furnace-annealing time
Fig. 5-5 The leakage current density of POSG film after various furnace-annealing time
Fig. 5-6 The dielectric constant of POSG film after various furnace-annealing time
Fig. 5-7 The FTIR spectra of POSG film after various furnace-annealing time
Fig. 5-8 The thickness variation after POSG with various furnace-annealing time
Fig. 5-9 The thickness variation of POSG after 85℃ hot water dipping with various dipping time
Fig. 5-10 The stress variation of POSG after 85℃ hot water dipping with various dipping time
Fig. 5-11 The FTIR spectra of POSG after undergoing various dipping time with 85℃ hot water dipping
Fig. 5-12 The leakage current density of POSG after 85℃ hot water dipping with various dipping time
Fig. 5-13 The dielectric constant variation of POSG after 85℃ hot water dipping with various dipping time
Fig. 5-14 The FTIR spectra of POSG films (sample STD) after O2 plasma ashing for 30 to 90 sec
Fig. 5-15 The thickness variation of POSG films (sample STD) after O2 plasma ashing for 30 to 90 sec
Fig. 5-16 The dielectric constant of POSG films (sample STD) after O2 plasma ashing for 30 to 90 sec
Fig. 5-17 The leakage current density of POSG films (sample STD) after O2 plasma ashing for 30 to 90 sec
Fig. 5-18 The SEM image of pattern profile of POSG film before photoresis stripping
Fig. 5-19 The SEM image of pattern profile of POSG film after O2 plasma ashing for 20 sec
Fig. 5-20 The SEM image of pattern profile of POSG film after O2 plasma ashing for 40 sec
Fig. 5-21 The FTIR spectra of POSG films after O2 plasma ashing for 20 and 40 sec
Fig. 5-22 Stress-temperature spectra of sample STD (as-cured POSG) and sample A (as-cured POSG with O2 plasma ashing for 20 sec)
Fig. 5-23 The FTIR spectra of sample A (POSG film with O2 plasma for 20 sec) before and after stress-temperature measurement
Fig. 5-24 The FTIR spectra of sample STD and sample H-5 after O2 plasma ashing for 90 sec
Fig. 5-25 The leakage current density of sample STD and sample H-5 after O2 plasma ashing for 90 sec
Fig. 5-26 The dielectric constant of sample STD and sample H-5 after O2 plasma ashing for 90 sec
Fig. 5-27 The thickness variation of sample STD, sample O-30, sample TMCS and sample HMDS
Fig. 5-28 The FTIR spectra of sample STD, sample O-30, sample TMCS and sample HMDS
Fig. 5-29 The enlargement of Fig. 5-28 ranging from 2600 to 3300 cm-1
Fig. 5-30 The leakage current density of sample STD, sample O-30, sample TMCS and sample HMDS
Fig. 5-31 The dielectric constant of sample STD, sample O-30, sample TMCS and sample HMDS
Chapter 6
Fig 6-1 J-E characteristic curves of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 25℃ following a BTS test at 200℃ and a electric field of 1 MV/cm for 1000s
Fig 6-2 J-E characteristic curves of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 100℃ following a BTS test at 200℃ and a electric field of 1 MV/cm for 1000s
Fig 6-3 J-E characteristic curves of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 150℃ following a BTS test at 200℃ and a electric field of 1 MV/cm for 1000s
Fig 6-4 J-E characteristic curves of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 200℃ following a BTS test at 200℃ and a electric field of 1 MV/cm for 1000s
Fig 6-5 In J versus E1/2 plots of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 25℃
Fig 6-6 In J versus E1/2 plots of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 100℃
Fig 6-7 In J versus E1/2 plots of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 150℃
Fig 6-8 In J versus E1/2 plots of Al/SILK/Si and Cu/SILK/Si capacitors, measured at 200℃
Fig. 6-9 The FTIR spectra of POSG after undergoing O2 plasma treatment with various treatment time
Fig. 6-10 The chemical structure of POSG before and after O2 plasma ashing treatment
Fig. 6-11 The temperature dependence of moisture desorption of POSG after undergoing O2 plasma treatment with various treatment time
Fig. 6-12 The leakage current of sample STD after O2 plasma ashing with various treatment time
Fig. 6-13 The leakage current of sample O-5 before and after 150℃ bake ((curve I, sample STD measured at 25℃; curve II, sample O-5 measured at 25℃(before 150℃ bake); curve III, sample O-5 measured at 150℃; curve IV, sample O-5 measured at 25℃(after 150℃ bake))
Fig. 6-14 The leakage current of sample O-10 before and after 150℃ bake ((curve I, sample STD measured at 25℃; curve II, sample O-10 measured at 25℃(before 150℃ bake); curve III, sample O-10 measured at 150℃; curve IV, sample O-10 measured at 25℃(after 150℃ bake))
Fig. 6-15 The leakage current of sample O-15 before and after 150℃ bake (curve II and curve IV measured at 25℃)
Fig. 6-16 The leakage current of sample O-30 before and after 150℃ bake (curve II and curve IV measured at 25℃)
Fig. 6-17 The dielectric constant of POSG increases with the increase of O2 plasma treatment time (curve A sample measured at 25℃(before 150℃ bake); curve B, sample measured at 150℃; curve C, sample measured at 25℃(after 150℃ bake))
Fig. 6-18 J-E curve on a ln J versus E1/2 plot of sample STD showing Schottky conduction at high field region
Fig. 6-19 J-E curve on a J versus exp(E) plot of O2 plasma-treated POSG film (before 150℃ bake) showing ionic conduction in all field
Fig. 6-20 J-E curve on a J versus exp(E) plot of O2 plasma-treated POSG film (after 150℃ bake) showing ionic conduction in all field
Fig. 6-21 The leakage current density of sample O-5 before and after CMP process
Fig. 6-22 The leakage current density of sample O-15 before and after CMP process
Fig. 6-23 The leakage current density of sample O-30 before and after CMP process
Fig. 6-24 The FTIR spectra of O2 plasma-treated POSG film before and after CMP process
Chapter 7
Fig. 7-1 Scheme of photoresist removal process by using O2 plasma ashing and wet stripper dipping treatments during manufacture of damascene structure
Fig. 7-2 Scheme of X-ray direct patterning of low-k film during manufacture of damascene structure
Fig. 7-3 The optical system of the X-ray lithography beam line
Fig. 7-4 The power spectra of synchrotron radiation after going through each optical element
Fig. 7-5 The layout of beryllium window between the ultra-high-vacuum chamber and exposure chamber
Fig. 7-6 The FTIR spectra of as-baked HSQ films under various X-ray exposure dose
Fig. 7-7 The FTIR spectra of as-baked HOSP films under various X-ray exposure dose
Fig. 7-8 The SEM image of X ray-exposed HSQ film after undergoing development
Fig. 7-9 The SEM image of X ray-exposed HOSP film after undergoing development
Fig. 7-10 The leakage current density of as-baked HSQ film under various X-ray exposure dose
Fig. 7-11 The leakage current density of as-baked HOSP films under various X-ray exposure dose
Fig. 7-12 The leakage current density of X ray-exposed HSQ films (with various dose) after furnace annealing at 400℃ for 1 hr
Fig. 7-13 The leakage current density of X ray-exposed HOSP films (with various dose) after furnace annealing at 400℃ for 1 hr
Fig. 7-14 The dielectric constant comparison of X ray-exposed HSQ films (with various dose) before and after furnace annealing at 400℃ for 1 hr
Fig. 7-15 The dielectric constant comparison of X ray-exposed HOSP film (with various dose) before and after furnace annealing at 400℃ for 1 hr
References
[1] R. Singh, “Important role of dielectrics in bringing second electronic revolution in the twenty first century,” in Proc. Electrochemical Society 3rd international Symposium on Low and High Dielectric Constant Materials, vol. 98-3, 1998, pp. 1-17.
[2] T. Ohba, “Material and process challenges in 100nm interconnects module technology and beyond,” J. Electro. Mater., vol. 30, no. 4, pp. 314-319, 2001.
[3] B. Roberts, A. Harrus and R. L. Jackson, “Interconnect metallization for future device generations,” Solid State Technology, Feb. pp. 69-78, 1995.
[4] M. Brillouet, “Multilevel interconnection technologies and future requirements for logic applications,” Microelectronic Engineering, vol. 37-38, pp. 5-13, 1997.
[5] S. H. Liu, E. Tolentino, Y. Lim, E. Tolentino and A. Koo, “Advanced metrology for rapid characterization of the thermal mechanical properties of low k dielectric and copper thin film,” J. Electro. Mater., vol. 30, no. 4, pp. 299-303, 2001.
[6] M. Vogt, M. Kachel, M. Plotner and K. Drescher, “Dielectric barriers for Cu metallization systems,” Microelectronic Engineering, vol. 37-38, pp. 181-187, 1997.
[7] T. Schiml et al., “A 0.13 um CMOS platform with Cu/low k interconnects for system on chip application,” in Symp. VLSI Technol. Dig., 2001, pp. 101-102.
[8] M. Armacost, A. Augustin, P. Felsner, Y. Feng, G. Friese, J. Heidenreich, G. Hueckel, O. Prigge and K. Stein, “A high reliability metal insulator metal capacitor for 0.18μm copper technology,” in IEDM Tech. Dig., 2000, pp. 157-160.
[9] B. Y. Tsui, K. L. Fang and S. E. Lee, “Electrical instability of low-dielectric constant diffusion barrier film (a-SiC: H) for copper interconnect,” IEEE Transactions on Electron Devices, vol. 48, no. 10, pp. 2375-2383, 2001.
[10] M. Fayolle, G. Passemard, M. Assous, D. Louis, A. Beverina, Y. Gobil, J. Cluzel and L. Arnaud, “Integration of copper with an organic low-k dielectric in 0.12-um node interconnect,” Microelectronic Engineering, vol. 60, pp. 119-124, 2002.
[11] G. Passemard, O. Demolliens, Ch. Lecornec, P. Noel, JC. Maisonobe, P. Motte, J. Palleau, F. Pires, L. Ravel, J. Torres and F. Vinet, “Single damascene integration of BCB with copper,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1998, pp. 63-68.
[12] J. Ida, M. Yoshimaru, T. Usami, A. Ohtomo, K. Shimokawa, A. Kita and M. Ino, “Reduction of wiring capacitance with low dielectric SiOF interlayer film for high speed/low power sub-half micron CMOS,” in Proc. Symp. VLSI Technol. Dig., 1994, pp. 59-62.
[13] M. H. Jo and H. H. Park, “Leakage current and dielectric breakdown behavior in annealed SiO2 aerogel films,” Applied Physics Letters, vol. 72, no. 11, pp. 1391-1393, 1998.
[14] X. W. Lin and D. Pramanik, “Future interconnect technologies and copper metallization,” Solid State Technol., pp. 3-79, Oct. 1998.
[15] R. Manepalli, K. D. Farnsworth, S. A. Bidstrup Allen and P. A. Kohl, “Multilayer electron-beam curing of polymer dielectric for electrical interconnections,” Electrochemical and Solid-state letter, vol.3, no. 5, pp. 228-231, 2000.
[16] J. Goo, B. K. Hwang, J. H. Choi, U. I. Chung and Y. B. Koh, “Reliable and simple Non-etch back process for inter-metal dielectric (IMD) of 256M DRAM using spin-on hydrogen silsesquioxane,” in proc. Int. Dielectrics for ULSI Multilevel Interconnection Conference, 1997, pp. 329-332.
[17] R. Swope, W. S. Yoo, J. Hsieh and H. te Nijenhuis, “Nitrous oxide plasma surface treatment of PECVD FSG films,” in proc. Int. Dielectrics for ULSI Multilevel Interconnection Conference, 1996, pp. 295-301.
[18] Y. Shimogaki, S. W. Lim, Y. Nakano, K. Tada and H. Komiyama, “The contribution on Si-O vibration modes to the dielectric constant of SiO2:F film,” in proc. Int. Dielectrics for ULSI Multilevel Interconnection Conference, 1996, pp. 36-43.
[19] Y. Liu, K. Chung, C. Saha, H. C. Liou, M. Spaulding, J. Pretzer and J. Bremmer, “Advance cure processing of hydrogen silsesquioxane for low dielectric constant,” in proc. Int. Dielectrics for ULSI Multilevel Interconnection Conference, 1998, pp. 155-158.
[20] S. W. Lim, Y. Shimogaki, Y. Nakano, K. Tada and H. Komiyama, “preparation of low dielectric constant F-doped SiO2 films by plasma enhanced chemical vapor deposition,” Applied. Physics Letters., vol. 68, no. 6, pp. 832-834, 1996.
[21] T. Homma and Y. Murao, “A new interlayer dielectric film formation technology using room temperature flow CVD,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1993, pp. 71-77.
[22] A. Verma, T. Guo, B. Cohen, H. Tran, P. Lee, R. Mosely and B. Nguyen, “Process integration of low dielectric constant fluorine doped PECVD silicon oxide thin film interconnect metallization,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1995, pp. 141-143.
[23] T. Shiraffuji, M. Sawada, Y. Nakagami, Y. Hayashi and S. Nishino, “PECVD of F-doped SiO2 thin films using tetraisocyanatesilane and tetrafluorosilane,” in Proc. Mat. Res. Soc. Symp., 1997, pp. 137-142.
[24] S. Lee, J. Y. Yoo and J. W. Park, “The thermal stability of fluorine doped silicon oxide films formed by ECRCVD with SiF4 and O2 gases,” in Proc. Mat. Res. Soc. Symp., 1997, pp. 119-125.
[25] J. P. Sullivan, D. R. Denison, J. C. Barbour, P. P. Newcomer, C. A. Apblett, C. H. Seager and A. G. Baca, “Thermal stability of fluorinated SiO2 films: effects of hydration and film-substrate interaction,” in Proc. Mat. Res. Soc. Symp., 1997, pp. 149-154.
[26] Richard A. Swalin, Thermodynamics of solids, 2nd ed., New York, Wiley, 1972, pp. 302.
[27] Y. J. Mei, T. C. Chang, S. J. Chang, F. M. Pan, M. S. K. Chen, A. Tuan, S. Chou and C. Y. Chang, “Stabilizing dielectric constant of fluorine-doped SiO2 film by N2O and NH3 plasma post-treatment,” Thin Solid Film, vol. 308-309, pp. 501-506, 1997.
[28] D. Martini, R. Sutcliffe and J. Kelber “MOCVD of Cu on teflon-AF and alumina-modified teflon-AF,” in proc. Mater. Res. Soc. Symp., vol. 476, 1997, pp. 61-67.
[29] N. H. Hendricks, K. S. Y. Lau, A. R. Smith and W. B. Wan, “Synthesis and characterization of fluorinated poly arylethers: organic polymers for IC IMD,” in proc. Mater. Res. Soc. Symp., vol. 381, 1995, pp. 59-70.
[30] M. Harker, I. Banerjee, L. Wong and P. A. Coon, “Characterization of CVD deposited amorphous fluorocarbons for low k interlayer dielectrics,” in proc. 3th Symp. On Low and High Dielectric Constant Materials, vol. 98-3, 1998, pp. 50-66.
[31] T. E. Seidel and C. H. Ting, “Methods and needs for low k material research,” in Proc. Mater. Res. Soc. Symp., vol. 381, 1995, pp. 3-17.
[32] S. Q. Wang and B. Zhao, “Gap fill dependence of fluorinated polyimide films on solid content, adhesion promoter, spin dwell time, and solvent spray,” J. Vac. Sci. Technol. B, vol. 14, no. 4, pp. 2656-2659, 1996.
[33] R. N. Vrtis, K. A. Heap, W. F. Burgoyne and L. M. Robeson, “Poly (arylene ether) s as low dielectric constant material for ULSI interconnect application,” in Proc. VLSI Multilevel Interconnect Conference (VMIC), 1997, pp. 620-622.
[34] S. Bothra, M. Kellam and P. Garrou, “BCB as an interlevel dielectric in a multilevel metal system,” in proc. Int. VLSI Multilevel Interconnection Conf., Santa Clara, June 1993, pp. 131-134.
[35] F. Kuchenmeister, U. Schubert and C. Wenzel, “ SILK dielectric planarization by chemical mechanical polishing,” Microelectronic Engineering, vol. 50, pp. 47-52, 2000.
[36] J. Cluzel, F. Mondon, Y. Loquest, Y. Morand and G.. Reimbold, “Electrical characterization of low permittivity materials for ULSI inter-metal-insulation,” Microelectronics Reliability, vol. 40, pp. 675-678, 2000.
[37] V. McGayay, A. Acovic, B. Argarwala, G. Endicott, M. Shapiro and S. Yankee, “Process integration and reliability of hydrogen silsesquioxane in direct-on-metal application,” in Proc. Int. VLSI Multilevel Interconnection Conf., 1996, pp. 116-118.
[38] H. Meynen, R. Uttecht, T. Gao, M. Van Hove, S. Vanhaelemeersch and K. Maex, “The integration of low k hydrogen silsesquioxane (HSQ) in sub 0.35 um processes,” in Proc. Electrochemical Society 3rd international Symposium on Low and High Dielectric Constant Materials, vol. 98-3, 1998, pp. 29-42.
[39] T. C. Chang, P. T. Liu, F. Y. Shih and S. M. Sze, “Effect of hydrogen on electrical and chemical properties of low-k hydrogen silsesquioxane as an intermetal dielectric for nonetchback process,” Electrochemical and Solid-State Letters., vol. 2, no. 8, pp. 390-392, 1999.
[40] Yvete Toivola, Jeremy Thurn and Robert F. Cook, “Structural, electrical, and mechanical properties development during curing of low-k hydrogen silsesquioxane films,” J. Electrochemical Society, vol. 149, pp. F9-F17, 2002.
[41] N. H. Hendricks, “Low dielectric constant materials for IC inter-metal dielectric applications: a status report on the leading candidates,” in Proc. Mater. Res. Soc. Symp., vol. 443, 1997, pp. 3-14.
[42] C. T. Chua, G. Sarkar and X. Hu, “In situ characterization of methylsilsesquioxane curing,” J. Electrochemical Society, vol. 145, pp. 4007-4011, 1998.
[43] A. T. Kohl, R. Mimna, R. Shick, L. Rhodes, Z. L. Wang and P. A. Kohl, “Low k, porous methyl silsesquioxane and spin-on-glass,” Electrochemical and Solid-State Letters, vol. 2, pp. 77-79, 1999.
[44] J. Waeterloos, H. Meynen, B. Coenegrachts, J. Grillaert and L. Vanden Hove, “Low k organic spin-on materials in a non-etchback interconnect strategy,” in Proc. Int. Dielectrics for ULSI Multilevel Interconnection Conf., 1996, pp. 52-60.
[45] Allied Signal Advanced Materials, Accuspin 418 Flowable Spin-on Polymer (SOP), Product bulletin, Sunnyvale, CA (1996).
[46] T. C. Chang, P. T. Liu, Y. J. Mei, Y. S. Mor, T. H. Perng, Y. L. Yang and S. M. Sze, “Effects of H2 plasma treatment on low dielectric constant methylsilsesquioxane,” J. Vac. Sci. Technol. B, vol. 17, no. 5, pp. 2325-2330, 1999.
[47] International Technology Roadmap for Semiconductors (ITRS), Santa Clara, CA, November, 2001.
[48] B. P. Gorman, R. A. Orozco-Teran, J. A. Roepsch, H. Dong and R. F. Reidy, and D. W. Mueller, “High strength, low dielectric constant fluorinated silica xerogel films,” Appl. Phys. Lett., vol. 79, no. 24, pp. 4010-4012, 2001.
[49] A. Jain, S. Rogojevic, S. Ponoth, N. Agarwal, I. Matthew, W. N. Gill, P. Persans, M. Tomozawa, J. L. Plawsky and E. Simonyi, “Porous silica materials as low-k dielectrics for electronic and optical interconnects,” Thin Solid Film, vol. 398-399, pp. 513-522, 2001.
[50] F. Lanckmans and K. Maex, “Use of a capacitance voltage technique to study copper drift diffusion in (porous) inorganic low-k materials,” Microelectronic Engineering, vol. 60, pp. 125-132, 2002.
[51] L. W. Hrubesh and S. R. Buckley, “Temperature and moisture dependence of dielectric constant for bulk silica aerogels,” in Proc. Mat. Res. Soc. Symp., vol. 476, pp. 99-104, 1997.
[52] Barry J. Bauer, Eric K. Lin, H. J. Lee, Howard Wang and W. L. Wu, “Structure and property characterization of low k dielectric porous thin films,” J. Electro. Mater., vol. 30, no. 4, pp. 304-308, 2001.
[53] C. Jin, S. Lin and J. T. Wetzel, “ Evaluation of ultra low k dielectric materials for advanced interconnects,” J. Electro. Mater., vol. 30, no. 4, pp. 284-289, 2001.
[54] D. R. Ulrich, “Sol-gel processing,” Chemtech Apri., pp. 242-249, 1988.
[55] L. L. Hench and J. K. West, “The Sol-Gel Process,” Chem. Rev., vol. 90, no. 1, pp. 33-72, 1990.
[56] M. H. Jo, H. H. Park, D. J. Kim, S. H. Hyun, S. Y. Choi and J. T. Paik, “SiO2 aerogel film as a novel intermetal dielectric,” J. Applied Physics, vol. 82, no. 3, pp. 1299-1304, 1997.
[57] L. A. Chow, T. Yu, B. S. Dunn, K. N. Tu and C. Chiang, “The processing and characterization of hybrid silica-based xerogel films,” in Proc. Mat. Res. Soc. Symp., vol. 476, 1997, pp. 105-110.
[58] P. B. Wagh, R. Begag, C. M. Pajonk, A. Venkateswara Rao and D. Haranath, “Comparison of some physical properties of silica aerogel monliths synthesized by different precursors,” Materials Chem. and Phys., vol. 57, pp. 214-218, 1999.
[59] C. V. Nguyen, K. R. Carter, C. J. Hawker, J. L. Hedrick, R. L. Jaffe, R. D. Miller, J. F. Remenar, Hee-Woo Rhee, P. M. Rice, M. F. Toney, M. Trollsas and D. Y. Yoon, “Low-Dielectric, nanoporous organosilicate films prepared via Inorganic/Organic polymer hybrid templates,” Chem. Mater., vol. 11, pp. 3080-3085, 1999.
[60] K. R. Carter, “Recent advances in low-k polymeric materials,” in Proc. Mat. Res. Soc. Symp., vol. 476, 1997, pp. 87-97.
[61] A. M. Padovani, L. Rhodes, L. Riester, G. Lohman, B. Tsuie, J. Conner, S. A. B. Allen and P. A. Kohl, “Porous methylsilsesquioxane for low-k dielectric applications,” Electrochemical and Solid-State Letters, vol. 4, no. 11, pp. F25-F28, 2001.
[62] T. Fujikawa, T. Yoshikawa, T. Ohnishi and T. Sato, “Hydrogen promoted copper migration in the high pressure anneal process,” Jpn. J. Applied Physics, vol. 40, pp. 2191-2196, 2001.
[63] H. Yokomichi and T. Hayashi, “Changes in structure and nature of defects by annealing of fluorinated amorphous carton thin films with low dielectric constant,” Applied Physics Letters, vol. 72, no. 21, pp. 2704-2706, 1998.
[64] S. Mizuno, A. Verma, H. Tran, P. Lee and B. Nguyen, “Dielectric constrant and stability of fluorine doped PECVD silicon Oxide Thin Films,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1995, pp. 148.
[65] T. Nguyen, H. Yang, D. R. Evans and S. T. Hsu, “Integration of MOCVD Copper and low-k fluorinated amorphous carbon in single damascene structures,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1998, pp. 31-32.
[66] S. G. Lee et al., “Low dielectric constant 3MS α-Sic: H as Cu diffusion Barrier Layer,” Jpn. J. Applied Physics, vol. 40, pp. 2663-2668, 2001.
[67] A. L. S. Loke, J. T. Wetzel, J. J. Stankus, M. S. Angyal, B. K. Mowry and S. S. Wong, “Electrical leakage at Low-k polyimide/TEOS interface,” IEEE Electron Device Letters, vol. 19, no. 6, 1998.
[68] R. Buchhold et al., “A study on the microphysical mechanisms of adsorption in polyimide layers for microelectronic applications,” J. Electrochemical Society, vol. 145, no. 11, pp.4012-4018, 1998.
[69] K. Mi Chang, I. C. Deng, S. J. Yeh and Y, P. Tsai, “Suppression of cupper diffusion through barrier metal-free hydrogen silsesquioxane dielectrics by NH3 plasma treatment,” Electrochemical and Solid-State Letters, vol. 2, no. 12, pp. 634-636, 1999.
[70] J. S. Jeng and J. S. Chen, “Interdiffusions and reactions in Cu/TiN/Ti/Thermal Sio2 and Cu/TiN/Ti/Hydrogen Silsesquioxane multiplayer structures,” J. Electrochemical Society, vol. 149, no. 8, pp.G455-G460, 2002.
[71] T. C. Chang, M. F. Chou, Y. J. Mei, J. S. Tsang, F. M. Pan, W. F. Wu, M. S. Tasi, C. Y. Chang, F. Y. Shih and H. D. Huang, “Enhancing the thermal stability of low dielectric constant hydrogen silsesquioxane by ion implantation,” Thin Solid Films, vol. 332, pp. 351-355, 1998.
[72] C. Maddalon, K. Barla, E. Denis, E. Lous, E. Perrin, S. Lis, C. Lair and E. Dehan, “Planarization properties of hydrogen silsesquioxane(HSQ) influence on CMP”, Microelectronic Engineering, vol. 50, pp.33-40, 2000.
[73] T. Gao, A. Witvrouw, B. Coenegrachts, C. Bruynseraede, M. Van Hove and K. Maex, “Integration of HSQ in the direct-on-metal approach for 0.25-μm technolofy,” Microelectronic Engineering, vol. 50, pp.349-355, 2000.
[74] H. Namastsu, T. Yamaguchi, M. Nadase, K. Yamazaki and K. Kurihara, “Nano-patterning of a hydrogen Silsesquioxane resist with reduced linewidth fluctuations,” Microelectronic Engineering, vol. 41, pp.331-334, 1998.
[75] M. G. Albrecht and C. Blanchette, “Materials issues with thin film hydrogen silsesquioxane low-k Dielectrics,” J. Electrochemical Society, vol. 145, no. 11, pp. 4019-4025, 1998.
[76] P. T. Liu, T. C. Chang, Y. L. Yang, Y. F. Cheng, J. K. Lee, F. Y. Shih, E. Tsai, G. Chen and S. M. Sze, “Improvement on intrinsic electrical properties of low-k hydrogen silsesquioxane/copper interconnects employing deuterium plasma treatment,” J. Electrochemical Society, vol. 147, no. 3, pp. 1186-1192, 2000.
[77] K. Barla et al., “Integration of HSQ as IMD in a five metal level, sub quarter micron technology using both W plug and hot aluminum metallisations,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1998, pp. 25-30.
[78] W. L. Wu and H. C. Liou, “Study of ultra-thin hydrogen silsesquioxane films using X-ray reflectivity,” Thin Solid Films, vol. 312, pp. 73-77, 1998.
[79] H. C. Liou and J. Pretzer, “Effect of curing temperature on the mechanical properties of hydrogen silsesquioxane thin films,” Thin Solid Films, vol. 335, pp. 168-191, 1998.
[80] P. T. Liu, T. C. Chang, S. M. Sze, F. M. Pan, Y. J. Mei, W.F. Wu, M. S. Tsai, B. T, Dai, C. Y. Chang, F. Y. Shih and H. D. Hung, “The effect of plasma treatment for low dielectric constant hydrogen silsesquioxane (HSQ),” Thin Solid Films, vol. 332, pp. 345-350, 1998.
[81] T.C. Chang, P. T. Liu, T. M. Tsai, F. S. Yeh, T. Y. Tseng, M. S. Tsai, B. C. Chen, Y. L. Yang and S. M. Sze, “Elimination of dilectric degradation for chemical-mechanical planarization of low-k hydrogen silsesquioxane,” Jpn. J. Applied Physics, vol. 40, pp. 3143-3146, 2001.
[82] K. M. Chang, I. C. Deng, S. J. Yeh and Y. P. Tsai, “Using NH3 plasma treatment to improve the characteristics of hydrogen silsesquioxane for copper interconnection application,” J. Electrochemical Society, vol. 147, no. 5, pp.1957-1961, 2000.
[83] M. C. Lee, “Chemical processes in glass polishing,” J. Non-Crystalline Solids, vol. 120, pp.152-171, 1990.
[84] P. T. Liu, T. C. Chang, Y. S. Mor and S. M. Sze, “Enhancing the oxygen plasma resistance of low-k methysilsequioxane,” Jpn. J. Applied Physics, vol. 38, pp. 3482-3486, 1999.
[85] T. C. Chang, P. T. Liu, Y. S. Mor, S. M. Sze, Y. L. Yang, M. S. Feng, F. M. Pan, D. T. Dai and C. Y. Chang, “The novel improvement of low dielectric constant methysilsequioxane by N2O plasma treatment,” J. Electrochemical Society, vol. 146, no. 10, pp.3802-3806, 1999.
[86] S. Sugahara, K. I. Usami and M. Matsumura, “ A proposed organic-silica film for inter-metal-dielectric application,” Jpn. J. Applied Physics, vol. 38, pp. 1428-1432, 1999.
[87] D. R. Bujalski, S. Grigoras, W. L. Lee, G.. M. Wieber and G. A. Zank, “Stoichiometry control of SiOC ceramics by siloxane polymer functionality,” J. Mater. Chem., vol. 8, no. 6, pp. 1427-1433, 1998.
[88] S. Mikoshiba and S. Hayase, “Preparation of low density poly(methysilsequioxane)s for LSI interlayer dielectrics with low dielectric constant. Fobrication of Angstrom size pores prepared by baking trifluoropropylsiyl copolymers,” J. Mater. Chem., vol. 9, pp. 591-598, 1999.
[89] A. Courtot-Descharles, F. Pires, P. Paillet and J. L. Leray, “Density functional theory applied to the calculation of dielectric constant of low-k materials,” Microelectronics Reliability, vol. 39, pp. 279-284, 1999.
[90] C. Y. Wang, J. Z. Zheng, Z. X. Shen, Y. Lin and A. T. S. Wee, “Eliminiation of O2 plasma damage of low-k methyl silsesquioxane film by as implantation,” Thin Solid Films, vol. 397, pp. 90-94. 2001.
[91] C. H. hsieh, S. J. Chen and C. C. Hsu, “A novel non-furnace curing process of low-k dielectric material with implant treatment for 0.35μm and 0.25μm device,” in proc. Int. Dielectrics for ULSI Multilevel Interconnection Conferenc (DUMIC), 1998, pp.140-147.
[92] M. Matsuura, Y. Ii, K. Shibata, Y. Hayashide and H. Kotani, “An advanced interlayer dielectric system with partially converted organic SOG by using plasma treatment,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1993, pp. 113-115.
[93] T. Nakano, K. Tokun and T. Ohta, “Effects of Si-C bond content on film properties of organic spin-on glass,” J. Electrochemical Society, vol. 142, no.4, pp. 1303-1307, 1995.
[94] T. Nakano and T. Ohta, “Relationship between chemical composition and film properties of organic spin-on glass,” J. Electrochemical Society, vol. 142, no.3, pp. 918-925, 1995.
[95] P. T. Liu, T. C. Chang, H. Su, Y. S. Mor, Y. L. Yang, H. Chung, J. Hou and S. M Sze, “Improvement in integration issues for organic low-k hybrid-organic-siloxane-polymer,” J. Electrochemical Society, vol. 148, no.2, pp. F30-F24, 2001.
[96] K. Postava and T. Yamaguchi and M. Horie, “Estimation of the dielectric properties of low-k materials using optical spectroscopy,” Applied Physics Letters, vol. 79, no. 14, pp. 2231-2233, 2001.
[97] A. Mallikarjunan, S. P. Murarka and T. M. Lu, “Metal drift behavior in low dielectric constant organosiloxane polymer,” Applied Physics Letters, vol. 79, no. 12, pp. 1855-1857, 2001.
[98] T. R. Hsieh, T. Tseng, K. Ysai, C. H. Chu, S. Pi and D. Huang, “A study on low cost inter-metal-dielectric by boron and BF2 ion implantation modified SOG,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1998, pp. 574-579.
[99] T. Furusawa, D. Ryuzaki, R. Yoneyama, Y. Homma and K, Hinode, “Oxygen plasma resistance of low-k organosilica glass films,” Electrochemical and Solid-State Letters, vol. 4, no. 3, pp. G31-G34, 2001.
[100] L. Forester, M Cleeves, K. Ramkumar, D. K. Choi, M. Ross and W. R. Livesay, “Electron-beam curing of non-etchback SOG and application of a 0.5μm CMOS SRAM process,” in proc. VLSI Multilevel Interconnection Conference (VMIC), 1995, pp. 83-89.
[101] Y. Shiotya, K. Maeda, T. Ishimaru, T. Ohdaira and r. Suzuki, “Analysis of pore and pore-related properties in plasma-enhanced chemical vapor deposition low dielectric constant films,” J. Electrochemical Society, vol. 149, no.9, pp. F103-F109, 2002.
[102] M. R. Baklanov, K. P. Mogilnikov, V. G. Polovinkin and F. N. Dultsev, “Determination of pore size distribution in thin films by ellipsometric porosimrtry,” J. Vac. Sci. Technol. B, vol. 18, no.3, pp.1385-1391, 2000.
[103] D. W. Gidley, W. E. Frieze, T. L. Dull, J. Sun, A. F. Yee, C. V. Nguyen and D. Y. Yoon, “Determination of pore-size distribution in low-dielectric thin films,” Applied Physics Letters, vol. 76, no.10, pp. 1282-1284, 2000.
[104] J. J. Si, H. Ono, K. Uchida, S. Nozaki, H. Morisaki and N. Itoh, “Correlation between the dielectric constant and porosity of nanoporous silica thin films deposited by the gas evaporation technique,” Applied Physics Letters, vol. 79, no.19, pp. 3140-3142, 2001.
[105] C. Hu, M. Morgen and P. S. Ho, “Thermal conductivity study of porous low-k dielectric materials,” Applied Physics Letters, vol. 77, no.1, pp. 145-147, 2000.
[106] Y. Xu, D. W. Zheng, Y. Tsai, K. N. Tu, B. Zhao, Q. Z. Liu, M. Brongo, C. W. Ong, C. L. Choy, G.. T. T. Sheng and C, H. Tung, “Synthesis and characterization of porous polymeric low dielectric constant films,” J. Electronic Materials, vol. 30, no.4, pp.309-313, 2001.
[107] J. Xu, J. Moxom, S. Yang, R. Suzuki and T. Ohdaira, “Porosity in porous methyl-silsesquioxane (MSQ) films,” Applied Surface Science, vol. 194, pp. 189-194, 2002.
[108] J. M. Park and S. W. Rhee, “Remote plasma-enhanced chemical vapor deposition of nanoporous low-dielectric constant SiCOH films using vinyltrimethylsilane,” J. Electrochemical Society, vol. 149, no.8, pp. F92-F97, 2002.
[109] E. Kondoh, M. R. Baklanov, H. Bender and K. Maex, “Structural change in porous silica thin film after plasma treatment,” Electrochemical and Solid-State Letters, vol. 1, no. 5, pp. 224-226, 1998.
[110] S. M. Sze, Physics of Semiconductor Devices, New York: Wiley, 1981.
[111] P. T. Liu, T. C. Chang, Y. L. Yang, Y. F. Cheng and S. M. Sze, “Effects of NH3-plasma Nitridation on the electrical characterization of low-k hydrogen silsesquioxane with copper interconnects,” IEEE Transactions on Electron Devices, vol. 47, no.9, pp.1733-1739, 2000.
[112] H. Sodolski and M. Kozlowski, “DC conductivity of silica xerogels,” J. Non-Crystalline Solids, vol. 194, pp. 241-255, 1996.
[113] S. V. Nitta, V. Pisupatti, A. Jain, P. C. Wayner, Jr., W. N. Gill and J. L. Plawsky, “Surface modified spin-on xerogel films as interlayer dielectrics,” J. Vac. Sci. Technol. B, vol. 17, no.1, pp.205-212, 1999.
[114] S. E. Schulz, H. Koerner, C. Murray, I. Streiter and T. Gesser, “Influence of barrier and cap layer deposition on the properties capped and non-capped porous silicon oxide,” Microelectronic Engineering, vol. 55, pp.45-52, 2001.
[115] J. K. Hong, H. S. Yang, M. H. Jo, H. H. Park and S. Y. Choi, “Preparation and characterization of porous silica xerogel film for low dielectric application,” Thin Solid Films, vol. 308-309, pp. 495-500, 1997.
[116] D. De Roest, R. A. Donaton, M. Stucchi, K. Maex and B. Nauwelaers, “Simulation and measurement of capacitance in dielectric stacks and consequences for integration,” Microelectronic Engineering, vol. 55, pp.29-35, 2001.
[117] D. Louis, C. Peyne, E. Lajoinie, B. Vallesi, D. Holmes, D. Maloney and S. Lee, “Improved post etch cleaning for low-k and copper integration for 0.18μm technology,” Microelectronic Engineering, vol. 46, pp.307-310, 1999.
[118] T. C. Chang, Y. S. Mor, P. T. Liu, T. M. Tsai, C. W. Chen, Y. J. Mei and S. M. Sze, ‘Enhancing the resistance of low-k hydrogen silsesquioxane (HSQ) to wet stripper damage,” Thin Solid Films, vol. 398-399, pp. 523-526, 2001.
[119] T. C. Chang, Y. S. Mor, P. T. Liu, T. M. Tsai, C. W. Chen, Y. J. Mei and S. M. Sze, “Recovering dielectric loss of low dielectric constant organic siloxane during the photoresist removal process,” J. Electrochemical Society, vol. 149, no.8, pp. F81-F84, 2002.
[120] T. C. Chang, Y. S. Mor, P. T. Liu, T. M. Tsai, C. W. Chen, Y. J. Mei and S. M. Sze, “The Effect of ammonia plasma treatment on low-k methyl-hybrido-silsesquioxane against photoresist stripping damage,” Thin Solid Films, vol. 398-399, pp. 632-636, 2001.
QRCODE
 
 
 
 
 
                                                                                                                                                                                                                                                                                                                                                                                                               
第一頁 上一頁 下一頁 最後一頁 top