|
[1]Ali, Samia A, “A partitioning technique of general combinational circuit into a tree type circuit,” in Proceeding of the 24th Southeastern Symp., and the 3rd Annual Symp. On Communications, Signal Processing Expert Systems and ASIC VLSI Design, pp. 116-119, 1992.
[2]Bhattacharya, B. B., and Seth, S. C., “On the reconvergent structure of combinational circuits with applications to compact testing,” in Proceedings of the Fault-Tolerant Computing Symposium, pp. 264-269, 1987.
[3]Bhattacharya, B. B., and Seth, S. C., “Design of parity testable combinational circuits,” IEEE Transactions on Computers, Vol. 38, No. 11, pp. 1580-1584, 1989.
[4]Bhattacharya, U. K., Gupta, I. Sen, Nath, S. Shyama, and Dutta, P., “PLA based synthesis and testing of hazard free logic,” in Proceedings of the IEEE 8th International Conference on VLSI Design, pp. 121-124, 1995.
[5]Brasen, D. R., and Saucier, G., “Using cone structures for circuit partitioning into FPGA packages,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, No. 7, pp. 592-600, 1998.
[6]Breuer, M. A., Sarrafzadeh, M., and Somenzi, F., “Fundamental CAD algorithms,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 19, No. 12, pp. 1449-1475, 2000.
[7]Chakravarty, Sreejit, and Hunt III, Harry B., “On computing signal probability and detection probability of stuck-at faults,” IEEE Transactions on Computers, Vol. 39, No. 11, pp. 1369-1377, 1990.
[8]Chatterjee, S., Mishchenko, A., Brayton, R., Wang, X., and Kam, T., “Reducing structural bias in technology mapping,” In Proceedings of the IEEE ICCAD., pp. 518-525, 2005.
[9]Chaudhary, K., and Pedram, M., “A near-optimal algorithm for technology mapping minimizing area under delay constraints,” in Proceeding of the 29th ACM/IEEE Design Automation Conference, pp. 492-498, 1992.
[10]Chaudhary, K., and Pedram, M., “Computing the area versus delay trade-off curves in technology mapping,” IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 12, pp. 1480-1489, 1995.
[11]Chen, Y., Tsai, W. K., and Kurdahi, F. J., “Layout-driven logic synthesis system,” IEE Proc.-Circuits, Devices and Systems, Vol. 142, No. 3, pp. 158-164, 1995.
[12]Cheng, D. I., Marek-sadowska, Malgorzata, and Cheng, K. T., “Speeding up power estimation by topological analysis,” in Proceedings of the IEEE International Conference on Custom Integrated Circuits, pp. 623-626, 1995.
[13]Chuang, W. and Hajj, I. N., “Delay and area optimization for compact placement by gate resizing and relocation,” in Proceedings of the IEEE ICCAD, pp. 145-148, 1994.
[14]Cong, J., and Ding, Y., “On Area/Depth Trade-off in LUT-Based FPGA Technology Mapping,” in Proceedings of the 30th ACM/IEEE Design Automation Conf., pp. 213-218, 1993.
[15]Cong, J., Li, Z., and Bagrodia, R., “Acyclic Multi-Way Partitioning of Boolean Networks,” in Proceedings of the 31th ACM/IEEE Design Automation Conf., pp. 670-675, 1994.
[16]Cong, J., and Xu, D., “Exploiting Signal Flow and Logic Dependency in Standard Cell Placement,” in Proceedings of ASP-DAC, pp. 399-404, 1995.
[17]Cong, J., and Xu, S., “Technological Mapping for FPGAs with Embedded Memory Block,” in Proceedings of the International Symposium on FPGA, pp. 179-188, 1998.
[18]Devadas, S., Ghosh, A., and Keutzer, K., “Logic synthesis,” McGraw-Hill, 1994.
[19]Gao, T., Vaidya, P. M., Liu, C. L., “A new performance driven placement algorithm,” in Proceedings of the IEEE ICCAD, pp. 44-47, 1991.
[20]Giovanni De Micheli, “Synthesis and optimization of digital circuits,” McGraw-Hill, 1994.
[21]Giovanni De Micheli, “Technology mapping of digital circuits,” in Proceedings of the 5th Annual European Computer Conference On Advanced Computer Technology, Reliable Systems and Applications, pp. 580-586, 1991.
[22]Gosti, W., Narayan, A., Brayton, R. K., and Sangiovanni-vincentelli, A., “Wireplanning in logic synthesis,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 26-33, 1998.
[23]Guruswamy, M., Maziasz, R. L., Dulitz, D., Raman, S., Chiluvuri, V., Fernandez, A., and Jones, L. G., “CELLERITY: A fully automatic layout synthesis system for standard cell libraries,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 327-332, 1997.
[24]Hachtel, G. D., and Somenzi, F., “Logic Synthesis and Verification Algorithm,” Kluwer Academic Publishers, Norwell, MA., 1996.
[25]He, J. A., and Kobayashi, H., “Simultaneous wire sizing and wire spacing for post-layout performance optimization,” in Proceedings of the ASP-DAC., pp. 373-378, 1998.
[26]Hu, Bo, Watanabe, Y., and Marek-sadowska, M., “Gain-based technology mapping for discrete-size cell libraries,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 574-579, 2003.
[27]Jiang, Y., Kristic, A., Cheng, K. T., and Marek-sadowska, M., “Post-layout logic restructuring for performance optimization,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 662-665, 1997. [28]Jiang, Y., and Sapatnekar, S. S., “An integrated algorithm for combined placement and libraryless technology mapping,” in Proceedings of the IEEE ICCAD, pp. 102-105, 1999.
[29]Jiang, Y., Sapatnekar, S. S., Bamji, C., and Kim, J., “Interleaving buffer insertion and transistor sizing into a single optimization,” IEEE Transactions On VLSI Systems, Vol. 6, NO. 4, pp. 625-633, 1998.
[30]Kannan, L. N., Suaris, P. R., and Fang, H., “A methodology and algorithms for post-placement delay optimization,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 327-332, 1994.
[31]Keutzer, K., “DAGON: Technology binding and local optimization by DAG matching,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 341-347, 1987.
[32]Keutzer, K., Newton, A. R., and Shenoy, N., “The future of logic synthesis and physical design in deep-submicron process geometries,” In Proceedings of the ISPD., pp. 218-224, 1997.
[33]Kukimoto, Y., Brayton, R. K., and Sawkar, P., “Delay-optimal technology mapping by DAG covering,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 348-351, 1998.
[34]Lee, D. H., Choi, H., Park, L. J., Park, C. H., and Hwang, S. H., “A stochastic evolution algorithm for the graph covering problem and its application to the technology mapping,” in Proceedings of the IEEE International Conference on Evolutionary Computation, pp. 475-479, 1996.
[35]Lehman, E., Watanabe, Y., Grodstein, J., and Harkness, H., “Logic decomposition during technology mapping,” IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 16, No. 8, pp. 813-834, 1997.
[36]Lengauer, T., “Upper and lower bounds on the complexity of the min-cut linear arrangement problem on trees,” SIAM J. Algorithm Disc. Meth., Vol. 3, No. 1, pp. 99-113, 1982.
[37]Lian, Y. Y., and Lin, Y. L., “Layout-based logic decomposition for timing optimization,” in Proceedings of the ASP-DAC., pp. 229-232, 1999.
[38]Lou, J., Salek, A. H., and Pedram, M., “An exact solution to simultaneous technology mapping and linear placement problem,” in Proceedings of the IEEE ICCAD., pp. 671-675, 1997.
[39]Lu, A., Stenz, G., and Johannes, F. M., “Technology mapping for minimizing gate and routing area,” in Proceedings of the Design, Automation and Test in Europe, pp. 664-669, 1998.
[40]Lu, A., Stenz, G., Eisenmann, H., and Johannes, F. M., “Technology mapping for simultaneous gate and interconnect optimization,” IEE Proc.-Comput. Digit. Tech., Vol. 146, No. 1, pp. 21-31, 1999.
[41]Marek-Sadowska, M., and Lin, S. P., “Timing driven placement,” in Proceedings of the IEEE ICCAD, pp. 94-97, 1989.
[42]Marhoefer, Michael and McCluskey, E. J., “An experimental study of supergates,” CRC Technical Report. No. 88-6 Stanford University, 1988.
[43]Min, H. B., and Park, E. S., “Graph-theoretic algorithm for finding maximal supergates in combinational logic circuits,” IEE Proc.-Circuits Devices Syst., Vol. 143, No. 6, pp. 313-318, 1996.
[44]Pan, Yuqi, Li, Z., and Min, Y., “Structural analysis of large digital circuits,” in Proceedings of the Pacific Rim International Symp. on Fault Tolerant Systems, pp. 121-124, 1991.
[45]Pedram, M., and Bhat, N., “Layout driven technology mapping,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 99-105, 1991.
[46]Pedram, M., and Bhat, N., “Layout driven logic restructuring and decomposition,” in Proceedings of the IEEE ICCAD., pp. 134-137, 1991.
[47]Pedram, M., “Panel : Physical design and synthesis : merge or die,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 238-239, 1997.
[48]Pedram, M., “Logical-physical co-design for deep submicron circuits: challenges and solutions,” in Proceedings of the IEEE ICCAD., pp. 304-307, 1998.
[49]Preas, B. T., and Lorenzetti, M. J., Editors, “Physical design automation of VLSI systems,” The Benjamin/Cummings Publishing Company, Inc., 1988.
[50]Raj, R. V., Murty, N. S., Nagendra Rao, P. S., Patnaik, L. M., “Effective heuristics for timing driven constructive placement,” in Proceedings of the 10th International Conference On VLSI Design, pp. 38-43, 1997.
[51]Rudell, R., “Logic synthesis for VLSI design,” Memorandum UCB/ERL M89/49, Ph.D. Dissertation, University of California at Berkeley, 1989.
[52]Salek, A. H., Lou, J., and Pedram, M., “A DSM design flow: putting floorplanning, technology mapping, and gate-placement together,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 128-133, 1998.
[53]Salek, A. H., Lou, J., and Pedram, M., “An integrated logical and physical design flow for deep submicron circuits,” IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, No. 8, pp. 1305-1315, 1999.
[54]Sato, K., Kawarabayashi, M., Emura, H., and Maeda, N., “Post-layout optimization for deep submicron design,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 740-745, 1996.
[55]Sentovich, E. M., Singh, K. J., Lavagno, L., Moon, C., Murgai, R., Saldanha, A., Savoj, H., Stephan, P. R., Brayton, R. K., and Sangiovanni-vicentelli, A., “SIS: a system for sequential circuit synthesis,” Electron. Res. Lab., Dept. of Elect. Eng., Comput. Sci., Univ. California, Berkeley, Memo. UCB/ERL M92/41, 1992.
[56]Seth, S. C., Pan, L., and Agrawal V. D., “PREDICT – probabilistic estimation of digital circuit reliability,” in Proceedings of the Fault-Tolerant Comput. Symp., pp. 220-225, 1985.
[57]Seth, S. C., Bhattacharya, B. B., and Agrawal V. D., “An exact analysis for efficient computation of random pattern testability in combinational circuits,” in Proceedings of the Fault-Tolerant Comput. Symp., 1986.
[58]Sherwani, N., “Algorithms for VLSI physical design automation,” 2nd ed., The Netherlands: Kluwer Academic, 1995.
[59]Stok, L., Iyer, M. A., and Sullivan, A. J., “Wavefront technology mapping,” in Proceedings of DATE, pp. 531-536, 1999.
[60]Su, H. P., Wu, C. H., and Lin, Y. L., “A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning,” IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, No. 4, pp. 475-483, 1999.
[61]Sutanthavibul, S., and Shragowitz, E., “An adaptive timing-driven layout for high speed VLSI,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 90-95, 1990.
[62]Togawa, N., Yanagisawa, M., and Ohtsuki, T., “Maple-opt: a performance-oriented simultaneous technology mapping, placement, and global routing algorithm for FPGAs,” IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, No. 9, pp. 803-818, 1998.
[63]Touati, H. J., Moon, C. W., Brayton, R. K., and Wang, A., “Performance oriented technology mapping,” in Proceedings of the Sixth MIT Conference Advanced Res. VLSI, pp. 79-97, 1990.
[64]Tsay, Y. W., Lin, Y. L., “A row-based cell placement method that utilizes circuit structural properties,” IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 3, pp. 393-397, 1995.
[65]Vaishnav, H., and Pedram, M., “Logic extraction based on normalized netlengths,” in Proceedings of the IEEE ICCD., pp. 658-663, 1995.
[66]Vardanian, V. A., “Exact probabilistic analysis of error detection for parity checkers,” in Proceedings of the 15th IEEE VLSI Test Symposium, pp. 222-227, 1997.
[67]Zhao, M., and Sapatnekar, S. S., “A new structural pattern matching algorithm for technology mapping,” in Proceedings of the ACM/IEEE Design Automation Conf., pp. 348-351, 2001.
|