跳到主要內容

臺灣博碩士論文加值系統

(18.97.14.81) 您好!臺灣時間:2025/02/11 01:01
字體大小: 字級放大   字級縮小   預設字形  
回查詢結果 :::

詳目顯示

: 
twitterline
研究生:紀俊呈
研究生(外文):Jun-Cheng Chi
論文名稱:實體設計系統之建構與演算法開發
論文名稱(外文):Physical Design System Construction and Algorithms Development
指導教授:陳美麗陳美麗引用關係
指導教授(外文):Mely Chen Chi
學位類別:博士
校院名稱:中原大學
系所名稱:電子工程研究所
學門:工程學門
學類:電資工程學類
論文種類:學術論文
論文出版年:2006
畢業學年度:94
語文別:英文
論文頁數:118
中文關鍵詞:電壓降元件擺置計算機輔助設計平面規畫多重電壓源調整時序導向訊號完整度低功率實體設計系統
外文關鍵詞:Physical Design SystemPlacementFloorplanCADVoltage DropSignal IntegrityTiming-DrivenMultiple Voltage Scaling
相關次數:
  • 被引用被引用:1
  • 點閱點閱:210
  • 評分評分:
  • 下載下載:3
  • 收藏至我的研究室書目清單書目收藏:0
中 文 摘 要


在本論文中,我們提出一個實體設計系統的架構。此架構包含一個共同的資料庫、一個LEF/DEF輸出及輸入的轉換程式、資料存取函式庫、最佳化程式集及一個使用者圖形介面。此架構具有容易擴充及容易維護的優點。在此架構之下,我們建構出一個整合時序導向的電路分割、平面規劃及元件擺置系統。在此系統的平面規劃及元件擺置階段,我們均提出新的時序導向最佳化演算法。在此系統的設計流程中,為了維持各個不同階段的成本函數的一致性,我們使用相同的Critical Path資訊,並使用相同的時序估算模型(delay model)來預估Critical Path的延遲時間。此時序導向系統已與商業CAD軟體的設計流程整合。並隨著VLSI設計的演進,持續加強其功能,以解決隨著製程的進步,所衍生出的問題。在此論文中,我們研究在平面規劃、元件擺置及低功率設計領域所衍生的問題,並提出最佳化演算法。
在平面規劃階段,我們提出一個可同時處理硬模組(hard module)及軟模組(soft module)的平面規劃演算法。此演算法採用序列對(sequence pair)的平面規劃表示法,並在模擬退火的過程中,對每個軟模組找出四個可供選擇的外形。這些候選的外形(candidate shape)提供了較佳的機會可以得到區域最佳解(local optimal solution),進而有較大機會可以得到整體的最佳解(global optimal solution)。實驗結果顯示此演算法相當有效率。
在元件擺置階段,我們提出一個電壓降暨時序導向的元件擺置演算法,在元件擺置的過程中最佳化晶片的最大電壓降效應,並同時考量晶片的時序。實驗結果顯示,與商業的CAD工具軟體Cadence/QPlace相比, 本演算法可得到具有較低最大電壓降效應的元件擺置結果。較低的電壓降效應,將大大提升晶片的可靠度,並可減少電力線(power/ground nets)所佔用的空間成本。隨著製程的演進,較窄的金屬線將會導致較嚴重的電壓降效應。而在設計流程中若不考慮此電壓降效應,將可能導致晶片產生嚴重的電壓降,進而影響晶片的時序。
最後,我們研究應用於多重電壓源設計的電壓調整(voltage scaling)方法。在此論文中,我們提出一個整合greedy及反覆式最佳化(iterative optimization)方法的二階段電壓調整方法。此演算法可將具有高slack的標準元件,由較高的供應電壓調整(scale)為較低的供應電壓,以降低晶片的功率消耗。我們的研究結果顯示,在一個使用電壓調整技術的晶片上,其最低的電壓值,對於此晶片的功率消耗,具有決定性的影響。而我們知道使用較多的供應電壓,工程師必須花費較多的時間成本,配置較多的電壓島及規劃電力線的繞線。因此相較於使用多重電壓源的設計方法,使用雙重電壓源設計,將可同時兼顧改善功率消耗及減少時間成本。藉由使用此電壓調整的方式,將可大大降低晶片的功率消耗。
Abstract


In this thesis, we develop a physical design system. First, an architecture of the physical design system is proposed. This architecture consists of a central database, a LEF/DEF translator, a set of access utilities, a set of optimization processes, and a graphic user interface. The architecture is easy to be extended and maintained. Based on the architecture, an integrated timing-driven partitioning, floorplanning, and placement system has been constructed. In both floorplanning and placement stages, two novel timing-design methodologies are proposed to optimize the timing of the circuit. During the system flow, we use the same critical path information and the same models for delay estimation to increase the consistency of the cost functions in different stages. The timing-driven system is also integrated with a commercial CAD design flow. The system is continuously enhanced with the progress of the VLSI design. In this thesis, we also study the problems which are accompanied with the advancing of the VLSI process. We present the algorithms in the areas of the floorplan, placement, and low power design methodologies.
An effective hard/soft modules floorplanning algorithm is proposed. It uses simulated annealing framework based on the sequence pair representation. We proposed a method which finds four candidates of module shape to be chosen in a simulated annealing process for each module. These candidates provide a better choice toward local optimal packing. The proposed algorithm may be extended to handle the connectivity and different placement constraints. Experimental results show that the approach is very effective.
At placement stage, we proposed an IR drop-driven standard cell placement algorithm which simultaneously minimizes the maximum IR drop of a design and considers the critical path delay. The proposed algorithm can reduce the maximum value of IR drop among all rows at the placement stage. Experimental results show that the proposed algorithm may produce a placement with lower maximum IR drop value compared to the Cadence/QPlace. The placement with a lower maximum IR drop is more reliable and needs less power/ground straps or a coarser power grid in the design. Thus, more routing resources can be used for routing signal nets. With the advancement of technology, VLSI designs will become more complex with higher frequencies and lower supply voltages. It will cause more serious IR drop and timing closure problems.
Finally, we also study the multiple voltages scaling problem for low power designs. A two-phase voltage scaling algorithm for VLSI circuits is proposed. The proposed algorithm utilizes the slack of each gate to scale down the voltages of the gates. It combines a greedy approach and an iterative optimization method to scale the supply voltage of gates effectively. Our study also shows the lower bound value of the voltage domains is the main factor in determining power savings. If more voltage domains are used, more voltage islands will be needed and designers will be burdened with the chore of accommodating the extra voltage islands in their designs. Thus, using dual voltage domains is a good choice both for saving power and facilitating the design effort. By applying lower supply voltages on non-timing critical gates, we can greatly reduce the total power consumption.
Contents


摘要............................................................................................................................................II
Abstract...................................................................................................................................IV
Acknowledgements.................................................................................................................VI
List of Figures.........................................................................................................................IX
List of Tables.............................................................................................................................X

Chapter 1. Introduction............................................................................................................1
1.1 Organization..................................................................................................................3

Chapter 2. An Integrated Physical Design System.................................................................4
2.1 The Overview of the System Architecture....................................................................6
2.2 The MLDB/MLIB Date Structure.................................................................................8
2.3 Timing-Driven Physical Design System.....................................................................11
2.4 Experimental Results..................................................................................................14
2.5 Conclusions.................................................................................................................18
2.6 The Extension of the Proposed System.......................................................................19

Chapter 3. Simultaneous Hard and Soft Blocks Placement for VLSI Circuits..............20
3.1 Previous Works...........................................................................................................21
3.2 Problem Formulation..................................................................................................22
3.3 Block Placement by Sequence Pair.............................................................................23
3.3.1 Evaluate a Sequence Pair.................................................................................25
3.4 The Hard/Soft Modules Placement Algorithm............................................................27
3.4.1 Algorithm Overview........................................................................................27
3.4.2 The Soft Module Placement Algorithm...........................................................28
3.4.2.A Definition of Candidates of Module Shape..........................................28
3.4.2.B Change the Shape of Soft Modules
in the Simulated Annealing Process............30
3.4.2.C Evaluation of Block Locations and
Search for the Candidate Module Shapes...31
3.5 Experimental Results..................................................................................................34
3.6 Conclusions.................................................................................................................38

Chapter 4. IR Drop-Driven Placement for Standard Cells
with Timing Consideration................39
4.1 Previous Work.............................................................................................................43
4.2 IR Drop Calculation of a Standard Cell Row.............................................................46
4.2.1 Calculate the Power Consumption of a Cell....................................................46
4.2.2 Calculate the Equivalent Resistance of a Cell.................................................46
4.2.3 Construct the Equivalent Conductance Model.................................................47
4.2.4 IR Drop Calculation on a Row.........................................................................48
4.3 Problem Formulation..................................................................................................50
4.4 The Proposed Algorithm.............................................................................................51
4.4.1 Algorithm Overview.........................................................................................51
4.4.2 Path Guided Net Weight Assignment...............................................................52
4.4.3 IR Drop-Driven Global Placement..................................................................53
4.4.3.A Horizontal Cut Partitioning..................................................................53
4.4.3.B Vertical Cut Partitioning.......................................................................54
4.4.4 IR Drop-Driven Detail Placement...................................................................56
4.4.5 The Greedy Maximum IR Drop Refinement Process......................................56
4.5 Experimental Results..................................................................................................61
4.6 Conclusions.................................................................................................................67

Chapter 5. Gate Level Multiple Supply Voltage Assignment for
Power Optimization under Timing Constraint…68
5.1 Previous Works...........................................................................................................73
5.2 Problem Formulation..................................................................................................75
5.3 Background.................................................................................................................77
5.3.1 Timing Analysis...............................................................................................77
5.3.2 Power Consumption Calculation.....................................................................78
5.4 The Proposed Voltage Scaling Algorithm...................................................................80
5.4.1 Phase I: Greedily Scaling Down the Voltages of Gates While
Satisfying the Timing Constraint..........80
5.4.2 Phase II: Partition Based Multiple Supply Voltage Scaling Algorithm...........84
5.4.2.A Cost Function of Phase II.....................................................................84
5.4.2.B The Proposed Algorithm.......................................................................86
5.5 Experimental Results..................................................................................................89
5.6 Conclusions.................................................................................................................99

Chapter 6. Summaries and Future Works of this Thesis..................................................100
6.1 Future Works.............................................................................................................102

Reference................................................................................................................................103







List of Figures


Figure 2.1 The architecture of the proposed system................................................................6
Figure 2.2 MLDB/MLIB data structure..................................................................................8
Figure 2.3 Definition of the structure of “Component” .........................................................9
Figure 2.4 Design flow of the proposed timing-driven physical system...............................12
Figure 2.5 Experimental flow of out experiments.................................................................15
Figure 2.6 An example of the output of the timing-driven system.......................................16
Figure 2.7 The placement of circuit 3 placed by the proposed system................................ 17

Figure 3.1 An example of the step-lines of a floorplan.........................................................24
Figure 3.2 Horizontal and vertical constraint graphs............................................................26
Figure 3.3 An example of the candidate shapes of a soft module b. ...................................29
Figure 3.4 The evaluation algorithm for a sequence pair......................................................33
Figure 3.5 A floorplans of ami33 and ami49.........................................................................36
Figure 3.6 A floorplan of an 8-bit RISC CPU.......................................................................37


Figure 4.1 The curve of the maximum IR drop of all rows of Mac1 & Mac2
placed by QPlace.........40
Figure 4.2 An example of an equivalent conductance model of a standard cell row............44
Figure 4.3 An example of translating a standard cell row to the
equivalent conductance model......48
Figure 4.4 The pseudo code of calculating the maximum IR drop
of a standard cell row....................49
Figure 4.5 Flow chart of the proposed algorithm..................................................................52
Figure 4.6 An example of the calculation of Di.....................................................................55
Figure 4.7 Flow chart of the greedy IR drop refinement process..........................................57
Figure 4.8 An comparison of the IR drop of different placers..............................................64
Figure 4.9 An comparison of the critical path delays of different placers............................64
Figure 4.10 Voltage curves of all rows of Mac2......................................................................65
Figure 4.11 The voltage drop map of Mac2............................................................................65
Figure 4.12 The curve of the maximum IR drop of all rows of Mac1 & Mac2......................66


Figure 5.1 The average distribution of gates with different slacks
for 16 MCNC91 benchmarks....... 69
Figure 5.2 An illustration of the static current flow in a VDDH inverter
when it is directly connected to a VDDL gate.......70
Figure 5.3 A conventional level converter.............................................................................70
Figure 5.4 An example of the insertion and remove of an LC..............................................76
Figure 5.5 The pseudo code of the phase I............................................................................81
Figure 5.6 An example of phase I.........................................................................................83
Figure 5.7 An example of the calculation of power gain......................................................85
Figure 5.8 The pseudo code of phase II................................................................................88
Figure 5.9 Slack distribution of Mac1...................................................................................91
Figure 5.10 Power savings of the three programs...................................................................95



List of Tables


Table 2.1. The information of the four test cases.....................................................................14
Table 2.2. Comparison of our system with the commercial CAD tool....................................15

Table 3.1. Information of the MCNC benchmarks..................................................................34
Table 3.2. Comparison between the results of previous work and two methods.....................35
Table 3.3. Comparison the results of two different initial shape schemes...............................36
Table 3.4. Placement results of the five cases with 1/3 hard blocks and 2/3 soft blocks.........37

Table 4.1. The characteristic of the five test cases...................................................................61
Table 4.2. Comparison of the experimental results of timing-driven QPlace
and the proposed IRTP placer........62
Table 4.3. Comparison of the experimental results of QPlace and our IRP placer..................63

Table 5.1. Comparison of the results of our algorithm after phase I and phase II...................90
Table 5.2. Experimental results of our algorithm and the GECVS algorithm.........................93
Table 5.3. Comparison of the improvements our algorithm provides
compared to GECVS.....................93
Table 5.4. Results of our algorithm using four voltage domains.............................................94
Table 5.5. Comparison of the results of using different number of
voltage domains on Mac1.............96
Table 5.6. Results of our algorithm of using different voltage domains on Mac1...................97
Table 5.7. Experimental results of using different scaling factors of the powers of LCs…....98
Reference
[1]X. Tang and D. F. Wang, “FAST-SP: A Fast Algorithm for Block Placement based on Sequence Pair,” Proceedings of Asia and South Pacific Design Automation Conference, pp. 521-526, 2001.
[2]F. Y. Young; Chris C. N. Chu, W. S. Luk, Y. C. Wong, “Handling Soft Modules in General Nonslicing Floorplan Using Lagrangian Relaxation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol 20, No.5, pp. 687-692, 2001.
[3]S. Nakatake, K. Fujiyoushi, H. Murata, and Y. Kajitani, “Module Placement on BSG-Structure and IC Layout Applications,” Proceedings of International Conference on Computer Aided Design, pp. 484-491, 1996.
[4]H. Murata, E. S. Kuh, “Sequence-Pair Based Placement Method for Hard/Soft/Pre-Placed Modules,” Proc. ISPD, pp.167-172, 2000.
[5]F. Y. Young; Chris C. N. Chu, W. S. Luk, Y. C. Wong, “Floorplan Area Minimization using Lagrangian Relaxation,” Proceedings of the international Symposium on Physical Design, pp. 174-179, 2000.
[6]P. Chen, E. S. Kuh, “Floorplan Sizing by Linear Programming Approximation,” on Proceedings of the 37th Design Automation Conference, pp. 468-471, 2000.
[7]H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani, ”Rectangle-Packing Based Module Placement,” Proceedings of International Conference on Computer Aided Design, pp.472-479, 1995.
[8]H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani, ”VLSI Module Placement Based on Rectangle-Packing by the Sequence-Pair,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol 15, No.12, pp. 1518-1524, 1996.
[9]Joon-Seo Yim, Seong-Ok Bae, and Chong-Min Kyung, “A floorplan-based planning methodology for power and clock distribution in ASICs [CMOS technology]”, Proceedings of 36th Design Automation Conference, 1999, pp. 766-771. (New Orleans, Louisiana,1999)
[10]Alexander Marquardt, Vaughn Betz, and Jonathan Rose, “Timing-Driven Placement for FPGAs”, Proceedings of ACM Symposium on FPGAs, 2000, pp. 203-213. (New York, USA, 2000)
[11]Andrew B. Kahng and Q. Wang, "Implementation and Extensibility of an Analytic Placer", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2005, Vol. 24, No. 5, pp 734-747.
[12]Tim Kong, “A Novel Net Weighting Algorithm for Timing-Driven Placement”, International Conference on Computer Aided Design, 2002, pp. 172-176.( San Jose, California, 2002)
[13]Jun Cheng Chi, Jei Ming Feng, and Mely Chen Chi, “A New Timing-Driven Standard Cell Placement Algorithm”, Proceedings of International Symposium on VLSI Technology, and Applications, 2003, p184-187. (Hsinchu, Taiwan, 2003)
[14]Dennis J.-H. Huang and Andrew B. Kahng. “Partitioning-Based Standard-Cell Global Placement with an Exact Objective” Proceedings of International Symposium on Physical Design, 1997, pp. 18-25. (Napa Valley, California, 1997)
[15]ShihLian Ou and M. Pedrarn, “Timing-Driven Placement Based on Partitioning with Dynamic Cut-Net Control”, Proceedings of Design Automation Conference, 2000, pp. 472-476.( Los Angeles, California, 2000)
[16]B.M. Riess and G.G. Ettelt, “SPEED: Fast and Efficient Timing-Driven Placement”, IEEE International Symposium on Circuits and Systems, 1995, Vol 1, pp. 377-380. (Seattle, USA, 1995)
[17]W. Swartz and C. Sechen, “Timing Driven Placement for Large Standard Cell Circuits”, Proceedings of Design Automation Conference, 1995, pp. 211-215. (San Francisco, California, 1995)
[18]ShihLiang Ou and M. Pedram, “Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming“, Proceedings of Asia and South Pacific Design Automation Conference, 1999, Vol.1, pp. 105-108. (Hong Kong, 1999)
[19]B. Halpin, R. Chen, and N. Sehgal, ”Timing Driven Placement using Physical Net Constraints“, Proceedings of Design Automation Conference, 2001, pp. 780-783. (Las Vegas, Nevada, 2001)
[20]H. Hartje, I. Neumann, D. Stoffel, and W. Kunz, ”Cycle Time Optimization by Timing Driven Placement with Simultaneous Netlist Transformations”, The 2001 IEEE International Symposium on Circuits and Systems, 2001, Vol. 5, pp. 359-362. (Sydney, Australia, 2001)
[21]Yih-Chih Chou and Youn-Long Lin, “Effective Enforcement of Path-Delay Constraints in Performance-Driven Placement“, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2002, Vol 21, Issue 1, pp. 15-22.
[22]G. Bai, S. Bobba, and I.N. Hajj, “Simulation and Optimization of the Power Distribution Network in VLSI Circuits”, International Conference on Computer Aided Design, 2000, pp. 481-486. ( San Jose, California, 2000)
[23]W.S. Song and L.A. Glasser, “Power Distribution Techniques for VLSI Circuits”, IEEE Journal of Solid-State Circuits, 1986, Vol 21, Issue 1, pp. 150-156.
[24]P. Zarkesh-Ha and J.D. Meindl, ”Optimum on-Chip Power Distribution Networks for Gigascale Integration (GSI)”, Proceedings of the IEEE 2001 International Interconnect Technology Conference, 2001, pp. 125-127. (San Francisco, USA, 2001)
[25]T. Mitsuhashi and E.S. Kuh, ”Power and Ground Network Topology Optimization for Cell Based VLSIs”, Proceedings of 29th Design Automation Conference, 1992, pp. 524-529. (Anaheim, California, 1992)
[26]Dong-Soo Cho, Kyung-Ho Lee, Gi-Jeong Jang, Taek-Soo Kim, and Jeong-Taek Kong, ”Efficient Modeling Techniques for IR Drop Analysis in ASIC Designs”, Proceedings of Twelfth Annual IEEE International ASIC/SOC Conference, 1999, pp. 64-68. (Washington, DC, USA, 1999)
[27]S.H. Huang and C.L. Wang, "An Effective Floorplan-Based Power Distribution Network Design Methodology Under Reliability Constraints", in the Proceedings of IEEE International Symposium on Circuits and Systems, 2002, Volume 1, pp. 353-356. (Phoenix, USA, 2002)
[28]X. Wu, C. Qiao, and X. Hong, “Design and Optimization of Power/Ground Network for Cell-Based VLSIs with Macro Cells”, ACM/IEEE Proceedings of 36th Design Automation Conference, 1999, pp.21-24. (New Orleans, Louisiana,1999)
[29]Web site of ISPD 2001 Circuit Benchmarks,
http://www.cs.nthu.edu.tw/~ylin/ISPD2001NTHUBenchmark/placement.htm
[30]Web site of ISCAS Benchmarks,
http://www.fm.vslib.cz/~kes/asic/iscas/
[31]Silicon Ensemble version 5.4, Cadence Design Systems, Inc.
[32]C. Chen, A. Srivastava, and M. Sarrafzadeh, “On Gate Level Power Optimization using dual-Supply Voltages”, IEEE Transaction on Very Large Scale Integration (VLSI) Systems, vol. 9, pp. 616-629, Oct. 2001.
[33]K. Usami and M. Horowitz, “Clustered Voltage Scaling Technique for Low-Power Design”, International Symposium on Low Power Design, April, 1995, pp. 3-8.
[34]K. Usami et al., “Low-power Design technique for ASICs by Partially Reducing Supply Voltage”, Ninth Annual Proceedings, IEEE International ASIC Conference, Sep., 1996, pp. 301-304.
[35]S. N Kulkarni, A. N Srivastava and D. Sylvester, “A New Algorithm for improved VDD Assignment in Low Power Dual VDD Systems”, Proceedings of International Symposium on Low Power Design, Aug., 2004, pp. 200-205.
[36]Y. J. Yeh and S. Y. Kuo, “An Optimization-Based Low-Power Voltage Scaling Technique Using Multiple Supply Voltages”, IEEE International Symposium on Circuits and Systems, May, 2001, pp. 535-538.
[37]K. Usami, M.Igarashi, F. Minami, M. Ishikawa, M. Ichida and K. Nogami, “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor”, IEEE Journal of Solid-State Circuits, 1998, pp.463-472
[38]M. Igarashi et al., “A Low-power Design Method Using Multiple Supply Voltages”, Proceedings, International Symposium on Low Power Design, Aug., 1997, pp.36-41.
[39]D. Kang, M. C. Johnson, and K. Roy, “Multiple-Vdd Scheduling/Allocation for Partitioned Floorplan”, Proceedings of the 21st International Conference on Computer Design, pp. 412-418, 2003.
[40]D. Kang, M. C. Johnson, and K. Roy, “Simultaneous Multiple-Vdd Scheduling and Allocation for Partitioned Floorplan”, Proceedings of the 5th International Symposium on Quality Electronic Design, pp. 98-103, 2004.
[41]A. Srivastava and D. Sylvester, “Minimizing Total Power by Simultaneously Vdd/Vth Assignment”, Proceedings of Asia and South Pacific Design Automation Conference, pp. 400-403, 2003.
[42]W. Hung, Y. Xie, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and Y. Tsai, “Total Power Optimization through Simultaneously Multiple-Vdd Multiple-Vth Assignment and Device Sizing with Stack Forcing”, Proceedings of the 2004 International Symposium on Low Power Electronics and Design, pp. 144-149, 2004.
[43]M. Pedram, "Power Minimization in IC Design:Principles and Appications", ACM Transactions on Design Automation of Electronics Systems, volume 1:1, pp. 3-56, January 1996.
[44]C. Svensson and D. Liu, “Low power circuit techniques.” In Low Power Design Methodologies, J. Rabaey and M, Pedram (Editors). Kluwer Academic Publishers, pages 38-64, 1996.
[45]J. Lai, M.-S. Lin, T.-C. Wang, and L.-C. Wang, “Module Placement with Boundary Constraints Using the Sequence-Pair Representation,” Proceedings of Asia and South Pacific Design Automation Conference, Yokohama, Japan, January 2001, pp. 515-520.
[46]T. Sakurai, A. R. Newton, "Alpha-power law MOSFET model and its applications to CMOS inverter and other formulas," IEEE Journal of Solid-State Circuits, vol. 25, pp. 584-594, April 1990.
QRCODE
 
 
 
 
 
                                                                                                                                                                                                                                                                                                                                                                                                               
第一頁 上一頁 下一頁 最後一頁 top