跳到主要內容

臺灣博碩士論文加值系統

(2600:1f28:365:80b0:f3de:de2a:940c:ec8b) 您好!臺灣時間:2024/12/04 08:03
字體大小: 字級放大   字級縮小   預設字形  
回查詢結果 :::

詳目顯示

我願授權國圖
: 
twitterline
研究生:吳威震
研究生(外文):Wu, Wei-Cheng
論文名稱:應用於三維晶片之高延展性三維矽穿孔靜態隨機存取記憶體之高速低功耗自我時序調整傳輸方案
論文名稱(外文):High Speed and Low Power Self-timed Transfer Scheme for Ultra-scalable TSV-based 3D SRAM in 3D-IC
指導教授:張孟凡
指導教授(外文):Chang, Meng-Fan
口試委員:洪浩喬邱瀝毅張孟凡
口試日期:2011-7-27
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學門:工程學門
學類:電資工程學類
論文種類:學術論文
論文出版年:2011
畢業學年度:99
語文別:英文
論文頁數:67
中文關鍵詞:三維晶片矽穿孔靜態隨機存取記憶體
外文關鍵詞:3D-ICTSVSRAM
相關次數:
  • 被引用被引用:0
  • 點閱點閱:253
  • 評分評分:
  • 下載下載:0
  • 收藏至我的研究室書目清單書目收藏:0
隨著製程為了繼續遵循莫爾定律不斷演進,我們必須面對須多技術上的困難及物理上瓶頸,其中包含走線RC延遲、漏電流上升以及生產上的難度提升。而目前最有潛力能突破莫爾定律並有效提升效能及密度的方法就是三維製程整合。目前三維製程的開發正在萌芽階段,但有許多問題已經開始浮現,如:直通矽穿孔負載過重導致效能不彰、直通矽穿孔過大使得面積效益不佳及多層電路定址問題等。因此如何提高TSV之傳輸速度以及設計適合堆疊之架構已經成為了三維製程整合的重要議題。而為了展現三維整合的優勢,我們可以利用通用記憶體容量平台的概念來以預先設計、多層堆疊的方式來滿足不同系統的需求,如此則可以減少上市時間並同時降低成本。
因此,為了符合這種通用記憶體容量平台的特性,在論文中我們提出了自我時序追蹤差動直通矽穿孔傳輸方案,其中包含了差動小訊號方案及自我時序追蹤方案兩種方案。其中差動小訊號方案能夠有效提高直通矽穿孔下的傳輸效率,即使是在多層堆疊的情形下也能夠有效降低傳輸速度的損失。而自我時序追蹤方案則可以在不同層數堆疊的情形下精準的控制操作時序,並在直通矽穿孔負載改變情形下自動調整至適當的操作時序,也因此可以同時支援任意層數的堆疊及適應直通矽穿孔的製程變異。
一個由三萬兩千字元所組成的雙層三維靜態隨機存取記憶體陣列使用了一百八十奈米互補金氧半技術製造來驗證我們的想法,量測結果顯示在我們的架構能夠適應至少二十層的堆疊,並且在二十層堆疊時較單端傳輸降低百分之三十四點三的存取速度損失。

We have to face a lot of technical difficulties and physical limitations as process fol-lows Moore’s Law continuously. These challenges include increasing of wire RC delays, rising of leakage and manufacturing challenges. 3D integration has the most potential to solve these problems and provide outstanding performance and high density at the same time. But there are many issues surfaced such as the heavy loading of TSV, large TSV pitch and multi-layer addressing. Therefore, how to improve the transfer performance and provide efficiency circuit architectures has been an important issue. In order to demonstrate the advantages of 3D integration, we can satisfy various system requirements using pre-designed logic and different stacking number of memory with universal memory capacity concept. In the thesis, we proposed Self-Timed Differential-TSV Signal Transfer Scheme to reduce the speed penalty of TSV and support the multi-layer stacking function at the same time. On the other hand, the effect of TSV process variation can be reduced also. A 32kb 2 layer 3D-SRAM macro has been fabricated in 0.18um bulk CMOS technology to verify the idea of this work. The measurement results demonstrate that this design can support 20 layers stacking at least and reduce the access time penalty about 34.3% in 20 layers staking compare to single end transfer scheme.
摘要 i
Abstract ii
致謝 iii
Contents iv
List of Figures vii
List of Tables xi
Acronyms xii
Chapter1 Introduction 1
1.1 Motivation and Application for 3D-SRAM 1
1.2 Emerging Technology: 3D-IC 4
1.2.1 Die/Wafer Assembly 4
1.2.2 Bonding Styles 5
1.2.3 TSV Process Flow 6
1.3 Thesis Organization 9
Chapter2 Characteristic and Analysis of ITRI 3D IC Process 11
2.1 Introduction to ITRI 3D IC Process [22] 11
2.2 RC Characteristic Analysis for ITRI 3D Process 13
Chapter3 Design Challenge of 3D SRAM 16
3.1 Novel Architecture for 3D Memory Integrated Application 16
3.1.1 Conventional Direct Stacking and Master-Slave Architecture 17
3.1.2 3D Multi-Ported SRAM Arrays 20
3.1.3 3D Ultra-high Bandwidth Memory 23
3.1.4 3D System Integration Using Inductive-Coupling Link 25
3.2 Process Variation and Timing Tracking Design 27
3.2.1 Process Variation 27
3.2.2 Timing Tracking Design 28
Chapter4 Proposed Self-Timed Differential-TSV Signal Transfer Scheme 30
4.1 Concepts of Proposed STDT Scheme 30
4.2 Differential Small-Voltage-Swing Scheme 31
4.3 Self-Timed Tracking Scheme 34
4.4 Combination of DSVS and STT 37
Chapter5 Analyses and Comparisons of Proposed STDT Scheme 40
5.1 Speed Comparison of STDT & Single End 40
5.1.1 Transfer Speed Using the Same Driver Size 40
5.1.2 Access Time of Stacked SRAM Macros 42
5.2 Power Consumption and Peak Current Analysis 43
5.2.1 Power Consumptions of STDT Scheme 43
5.2.2 Peak Current Analysis for STDT Scheme 46
5.3 Area Penalty Analysis for STDT Scheme 48
Chapter6 Macro Implementation 49
6.1 Architecture of Proposed 3D-SRAM 49
6.2 Test Chip Design 53
Chapter7 Experimental Results and Conclusions 56
7.1 Measurement Results 56
7.2 Conclusion of This Thesis 61
7.3 Future work 63
References 64

[1]Neil Weste and David Harris, CMOS VLSI Design: A Circuits and Systems Perspective. Pearson Education,2005.
[2]International Technology Road Map for Semiconductors, “More-than-Moore” White Paper, 2010
[3]X-FAB Semiconductor Foundries, What is “More than Moore”?. Retrieved June 14, 2011,from http://www.more-than-moore.com/more-than-moore
/what-is-more-than-moore.html
[4]S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits," IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 820-829, Apr. 2007.
[5]J. W. Tschanz, S. G. Narendra, Y. Ye, B. A. Bloechel, S. Borkar, and V. De, " Dynamic Sleep Transistor and Body Bias for Active Leakage Power Control of Microprocessors," IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1838-1845, Nov. 2003.
[6]K. Nii, Y. Tsukamoto, T. Yoshizawa, S. Imaoka, Y. Yamagami, T. Suzuki, A. Shibayama, H. Makino, and S. Iwade, "A 90-nm Low-Power 32-kB Embedded SRAM With Gate Leakage Suppression Circuit for Mobile Applications," IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 684-693, Apr. 2004.
[7]S. Rusu, S. Tam, H. Muljono, D. Ayers, J. Chang, B. Cherkauer, J. Stinson, J. Benoit, R. Varada, J. Leung, R. D. Limaye, and S. Vora, "A 65-nm Dual-Core Multithreaded Xeon® Processor," IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 17-25, Jan. 2007.
[8]M. Yamaoka, Y. Shinozaki, N. Maeda, Y. Shimazaki, K. Kato, S. Shimada, K. Yanagisawa, and K. Osada, "A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor," IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 186-194, Jan. 2005.
[9]Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. M. Hafez, C. H. Jan, P. Kolar, S. H. Kulkarni, J. F. Lin, Y. G. Ng, I. Post, L. Wei, Y. Zhang, K. Zhang, and M. Bohr, "A 1.1 GHz 12μA/Mb-leakage SRAM design in 65nm ultra-low-power CMOS technology with integrated leakage reduction for mobile application," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 172-179, Jan. 2008.
[10]S. J. Lee, K. C. Park, Y. H. Kim, Y. K. Hong, Y. You, K. R. Cho, T. W. Cho, and K. Eshraghian, "3D data compression and encryption for bio-medical health care monitoring and management system," in BioCAS Dig. Tech. Papers, pp. 161-164, Nov. 2009.
[11]S. Cosemans, W. Dehaene, and F. Catthoor, "A low power embedded SRAM for wireless applications," IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1607-1617, Jul. 2007.
[12]International Technology Road Map for Semiconductors, 2005 edition.
[13]L. Jiang, Q. Xu, K.Chakrabarty, T.M. Mak, "Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint", in: IEEE International Conference on Computer-Aided Design, 2009, p. 191–196.
[14]W. C. Lo, S. M. Chang, Y. H. Chen, J. D. Ko, T. Y. Kuo, H. H. Chang, and Y. C. Shih, "3D chip-to-chip stacking with through silicon interconnects, " Symposium on VLSI-TSA, pp. 1-2, Apr. 2007.
[15]G. H. Loh, Y. Xie, and B. Black., "Processor Design in 3D Die-Stacking Technologies," IEEE Micro, 27(3):31–48, 2007.
[16]T. Fukushima, et al., "New Three-Dimensional Integration Technology Using Chip-to-Wafer Bonding to Achieve Ultimate Super-Chip Integration," Japanese Journal of Applied Physics, 45(4B):3030, 2006.
[17]Y. Xie, et al., "Design Space Exploration for 3D Architectures, " ACM Journal on Emerging Technologies in Computing Systems (JETC), 2(2):65–103, 2006.
[18]A. Zia, et al., "A 3-tier, 3-D FD-SOI SRAM macro," IEEE ICICDT, pp. 277-280, May 2008
[19]Lewis, D.L. et al, "A ScanIsland Based Design Enabling Prebond Testability in DieStacked Microprocessors, " in proc. IEEE International Test Conference (ITC), 2007, pp. 1-8
[20]Yole Development, 3D IC Report, 2007
[21]Steve Lassig, Manufacturing integration considerations of through-silicon via etching. Retrieved June 15, 2011, from http://www.electroiq.com/index/
display/semiconductors-article-display/313369/articles/solid-state-technology/
volume-50/issue-12/features/cu-low-k/
manufacturing-integration-considerations-of-through-silicon-via-etching.html
[22]李思翰、賴信吉、許世玄、黃尊禧(民99年11月)。利用3DIC 矽穿孔製程技術開發積體電感元件之研究。電光先鋒,15,58-66。
[23]Yuh-Fang Tsai, Feng Wang, Yuan Xie, Vijaykrishnan, N. Irwin, M.J., "Design Space Exploration for 3-D Cache," IEEE Trans. Very Large Scale Integr. Syst., vol.16, no.4, pp.444-455, April 2008
[24]H. H. Nho, M. Horowitz, and S. S. Wong, "A High-speed, Low-power 3D-SRAM Architecture," in IEEE CICC, 2008.
[25]Uksong Kang et al. "8Gb 3D DDR3 DRAM Using Through- Silicon-Via Technology, " Proc. IEEE Int. Solid-State Circuits Conf., pp.130 - 131 , 2009.
[26]U. Kang, et al., "8 Gb 3-D DDR3 DRAM Using Through- Silicon-Via Technolo-gy," IEEE J. Solid-State Circuits, Vol.45, No.1, pp. 111-119, Jan. 2010.
[27]K. Puttaswamy, and G. H. Loh, "3D integrated SRAM components for high-performance microprocessors," IEEE Trans. Computers, vol. 58, no. 10, pp. 1369-1381, Oct. 2009.
[28]Abe, K. Tendulkar, M.P. Jameson, J.R. Griffin, P.B. Nomura, K. Fujita, S. Nishi, Y., "Ultra-high bandwidth memory with 3D-stacked emerging memory cells," Integrated Circuit Design and Technology and Tutorial, 2008. ICICDT 2008. IEEE International Conference on , vol., no., pp.203-206, 2-4 June 2008
[29]Osada, Kenichi, et al., "3D system integration of processor and multi-stacked SRAMs by using inductive-coupling links," in Symp. VLSI Circuit, vol., no., pp.256-257, 16-18 June 2009
[30]Saen, M., Osada, K., et al., "3-D System Integration of Processor and Mul-ti-Stacked SRAMs Using Inductive-Coupling Link," IEEE J. Solid-State Circuits, vol.45, no.4, pp.856-862, April 2010.
[31]H. Yamauchi, "Variation-Tolerant SRAM Circuit Designs," ISSCC Tutorial 2009.
[32]M.-F. Chang, S.-M. Yang, and K.-T. Chen, "Wide-VDD embedded asynchronous SRAM with dual-mode self-timed technique for dynamic voltage systems," IEEE Trans. Circuits and Syst. I, vol. 56, no. 8, pp. 1657-1667, Aug. 2009
[33]K. Osada, S. Jinuk Luke, M. Khan, Y. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, and K. Ishibashi, "Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell," IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738-1744, Nov. 2001
[34]H. Nambu, K. Kanetani, K. Yamasaki, K. Higeta, M. Usami, Y. Fujimura, K. Ando, T. Kusunoki, K. Yamaguchi, and N. Homma, "A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM," IEEE J. Solid-State Circuits, vol. 33, no. 11, pp. 1208-1219, Nov. 1998.
[35]B. S. Amrutur and M. A. Horowitz, "A replica technique for wordline and sense control in low-power SRAM's," IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1208-1219, Aug. 1998.
[36]Meng-Fan Chang, Wei-Cheng Wu, Chih-Sheng Lin et al., " A Larger Stacked Layer Number Scalable TSV-based 3D-SRAM for High-Performance Universal-Memory-Capacity 3D-IC Platforms," in Symp. VLSI Circuit, vol., no., pp.74-75, 13-17 June 2011
[37]K. Takeuchi, T. Fukai, T. Tsunomura, A. T. Purta, A. Nishida, S. Kamohara, and T. Hiramoto, "Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies," in IEDM Dig. Tech. Papers, pp. 467-470, Dec. 2007
[38]B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, “Yield and speed optimization of a latch-type voltage sense amplifier,” IEEE J. Solid-State Circuits, vol. 39, no 7, pp. 1148-1158, July 2004.
[39]J. David Irwin, A Brief Introduction to Circuit Analysis. Wiley, 2003.
[40]David E. Johnson, Electric Circuits Analysis 3rd Edition. Wiley, 1997.
[41]Hammond, P, Electromagnetism for Engineers, pp44-45, Pergamon Press, 1965.
[42]Adel S. Sedra and Kenneth C. Smith., Microelectronic Circuits 5th ed. Oxford University Press, 2004.
[43]Jim Stiles, Peak CMOS Current, Course Slide, The University of Kansas, 2004
[44]Bob Kirk, "Clock Management with PLLs and DLLs". EETimes.com. Retrieved July, 09, 2011 from http://www.eetimes.com/electronics-news/4140147/
Clock-Management-with-PLLs-and-DLLs
[45]Verma, N., et al., "A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," Proc. IEEE Int. Solid-State Circuits Conf., pp.380-621, 3-7 Feb. 2008
[46]Chandrakasan, et al., "High density 45 nm SRAM using small-signal non-strobed regenerative sensing," US:7746713 B2, June, 29, 2010

連結至畢業學校之論文網頁點我開啟連結
註: 此連結為研究生畢業學校所提供,不一定有電子全文可供下載,若連結有誤,請點選上方之〝勘誤回報〞功能,我們會盡快修正,謝謝!
QRCODE
 
 
 
 
 
                                                                                                                                                                                                                                                                                                                                                                                                               
第一頁 上一頁 下一頁 最後一頁 top