|
[1] B. Zhai, D. Blaauw, D. Sylvester, K. Flautner, ”Theoretical and practical limits of dynamic voltage scaling,” Design Automation Conference, pp. 868-873, 2004. [2] B.H. Calhoun, A. Chandrakasan, ”Characterizing and modeling minimum energy operation for subthreshold circuits,” International Symposium on Low Power Electronics and Design, pp. 90-95, 2004. [3] A. Wang, B. H. Calhoun, A. P. Chandrakasan, ”Sub-threshold Design for Ultra Low-Power Systems,” Springer Science+Business Media, LLC, 2006. [4] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, T. Kawahara, ”Low-power embedded SRAM modules with expanded margins for writing,” IEEE International Solid-State Circuit Conference, pp. 480-611, 2005. [5] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, M. Bohr, ”SRAM design on 65nm CMOS technology with integrated leakage reduction scheme,” Symposium on VLSI Circuits, pp. 294-295, 2004. [6] M. Yamaoka, K. Osada, R. Tsuchiya, M. Horiuchi, S. Kimura, T. Kawahara, ”Low power SRAM menu for SOC application using Yin- Yang-feedback memory cell technology,” Symposium on VLSI Circuits, pp. 288-291, 2004. [7] B.H. Calhoun, A. Chandrakasan, ”A 256kb Sub-threshold SRAM in 65nmCMOS,” International Solid-State Circuits Conference, 2006. [8] T.H. Kim, J. Liu, J. Keane, C.H. Kim, ”A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing,” Journal of Solid-State Circuits, pp. 518-529, 2008. [9] N. Verma, A.P. Chandrakasan, ”A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy,” Journal of Solid-State Circuits, pp. 141-149, 2008. [10] I.J. Chang, J.J. Kim, S.P. Park, K. Roy, ”A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS,” Journal of Solid-State Circuits, pp. 650-658, 2009. [11] M.T. Chang, W. Hwang, ”A Fully-Differential Subthreshold SRAM cell with Auto-Compensation,” Asia Pacific Conference on Circuits and Systems, 2008. [12] Q. Li, T.T. Kim, ”A 9T subthreshold SRAM bitcell with dataindependent bitline leakage for improved bitline swing and variation tolerance,” IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp. 260-263, 2010. [13] A.R. Ramani, K. Choi, ”A novel 9T SRAM design in sub-threshold region,” IEEE International Conference on Electro/Information Technology (EIT), pp. 1-6, 2011. [14] M.-H. Chang, Y.-T. Chiu, S.-L. Lai, W. Hwang, ”A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS,” International Symposium on Low Power Electronics and Design (ISLPED), pp. 291- 296, 2011. [15] T.H. Kim, J. Liu, C.H. Kim, ”An 8T Subthreshold SRAM Cell Utilizing Reverse Short Channel Effect for Write Margin and Read Performance Improvement,” Custom Integrated Circuits Conference, pp. 241-244, 2007. [16] J. Singh, J. Mathew, D.K. Pradhan, S.P. Mohanty, ”A Subthreshold Single Ended I/O SRAM Cell Design for Nanometer CMOS Technologies,” International SOC Conference, 2008. [17] Y. W. Chiu, J. Y. Lin, M. H. Tu, S. J. Jou, and C. T. Chuang, ”8T Single-ended sub-threshold SRAM with cross-point data-aware write operation,” International Symposium on Low Power Electronics and Design, 2011. [18] C. T. Chuang, H. I. Yang, Y. W. Lin, W. Hwang, W. C. Shih, and C. C. Chen, ”Data-aware dynamic supply random access memory,” US 2012/0044779 A1, U.S. Patent Application Publication, 2012. [19] M. H. Tu, J. Y. Lin M. C. Tsai, C. Y. Lu, Y. J. Lin, M. H. Wang, H. S. Huang, K. D. Lee, W. Shih, S. J. Jou, and C. T. Chuang, ”A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware WriteWord-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing,” Vol. 47, Issue 6, IEEE Journal of Solid-State Circuits, 2012. [20] C.-W. Lin, H.-Y. Yang, C.-Y. Huang, H.-H. Chen, M.C.-T. Chao, R.-F. Huang, ”Fault Models and Test Methods for Subthreshold SRAMs,” IEEE Transactions on Computers, 2011. [21] H. I. Yang, S. C. Yang, M. C. Hsia, Y. W. Lin, Y. W. Lin, C. H. Chen, C. S. Chang, G. C. Lin, Y. N. Chen, C. T. Chuang, W. Hwang, S. J. Jou, N. C. Lien, H. Y. Li, K. D. Lee, W. C. Shih, Y. P. Wu, W. T. Lee, and C. C. Hsu, ”A high-performance low VMIN 55nm 512Kb disturbfree 8T SRAM with adaptive VVSS control,” IEEE International SOC Conference, 2011. [22] A. Ney, L. Dilillo, P. Girard, S. Pravossoudovitch, A. Virazel, M. Bastian, and V. Gouin, ”A New Design-for-Test Technique for SRAM Core-Cell Stability Faults,” Design, Automation & Test in Europe Conference & Exhibition, 2009. [23] J. Yang, B. Wang, Y. Wu, and A. Ivanov, ”Fast Detection of Data Retention Faults and Other SRAM Cell Open Defects,” P. 167–180, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 1, 2006.
|