跳到主要內容

臺灣博碩士論文加值系統

(18.97.9.172) 您好!臺灣時間:2025/03/17 00:31
字體大小: 字級放大   字級縮小   預設字形  
回查詢結果 :::

詳目顯示

: 
twitterline
研究生:廖偉男
研究生(外文):Liao, Wei-Nan
論文名稱:40奈米1.0Mb 6T管線化靜態隨機存取記憶體與三步階升壓型字元線和位元線降壓和適應性電壓偵測
論文名稱(外文):40nm 1.0Mb 6T Pipeline SRAM with Three Step-Up Word-Line, Bit-Line Under-Drive and Adaptive Voltage Detector
指導教授:莊景德
指導教授(外文):Chuang, Ching-Te
學位類別:碩士
校院名稱:國立交通大學
系所名稱:電子研究所
學門:工程學門
學類:電資工程學類
論文種類:學術論文
論文出版年:2012
畢業學年度:101
語文別:英文
論文頁數:85
中文關鍵詞:靜態隨機存取記憶體管線化三步階升壓型字元線位元線降壓適應性電壓偵測
外文關鍵詞:Static Random Access Memory (SRAM)PipelineThree Step-Up Word-LineBit-Line Under-DriveAdaptive Voltage Detector
相關次數:
  • 被引用被引用:0
  • 點閱點閱:356
  • 評分評分:
  • 下載下載:45
  • 收藏至我的研究室書目清單書目收藏:0
近幾年來,記憶體在許多電子產品中被廣泛運用,因為記憶體的高操作速度與高效能。另外,因為靜態隨機存取記憶體也比其他種類的記憶體具有更高的操作速度,所以靜態隨機存取記憶體在高性能微處理器的快取記憶體和嵌入式系統中更是被廣泛應用。過去20年間,6T 靜態隨機存取記憶體因為有較高的操作速度與較緊密的面積,因此在設計上仍然以6T靜態隨機存取記憶體為設計主流。但是隨著製程演進至深次微米等級之後,製程變異會是影響6T靜態隨機存取記憶體存活的關鍵因素。在先進製程下,這些製程變異會讓6T 靜態隨機存取記憶體的讀或寫的能力受到嚴重的退化。除了讀寫能力受到影響之外,特別是在低壓操作時,6T 靜態隨機存取記憶體幾乎是無法正常的運作。
為了設計出能在先進製程下正常運作的6T 靜態隨機存取記憶體,我們提出三步階升壓型字元線技術、適應性數據感知寫入輔助技術、位元線降壓技術以及適應性電壓偵測技術來提高讀寫能力與降低閘極氧化層被擊穿的機會。此外,為了提高操作速度我們也運用管線化技巧。在本論文中,我們將這些技術、2階級管線化技術與單電源電壓設計在一顆1.0Mb高性能6T 靜態隨機存取記憶體,並且透過下線將該晶片實現在40奈米低功耗互補金屬氧化物半導體技術上。該晶片可以工作在寬電壓範圍從 1.2V至0.7V,具有工作平率900MHz@1.1V 和 25oC。

In recent years, memories have been widely used for the most electronic products due to their high operation speed and high performance. Besides, Due to SRAMs have higher operating speed than other memory family, SRAMs have been widely used for the high-performance microprocessor cache and embedded system. During the past 20 years, standard 6T SRAM cell becomes the mainstream of SRAMs design due to its highest speed and compact area. However, with the scaling into the deep sub-micron of process, the process variation affects the subsistence of the 6T SRAM cell. In advance technology node, the read and write ability suffer a serious degradation by theses process variation. Especially, at low operation voltage, 6T SRAM cell almost couldn’t have normal operation.
In order to design the 6T SRAM that it can normal work in the advanced process, we proposed the Three Step-Up Word-Line technique, Adaptive-Data-Aware Write-Assist technique, Bit-Line Under-Drive Read-Assist technique, and Adaptive Voltage Detector technique to enhance the read/write ability and performance, and reduce the gate oxide to be punctured. Besides, in order to enhance operating speed, we also applied the pipeline technique to enhance the operating speed. In the thesis, we design a 1.0Mb high-performance 6T SRAM with these techniques with two stage pipeline technique with a single supply voltage, and implement by way of tape out in the 40nm Low- Power complementary metal-oxide semiconductor technology. The chip has wide voltage range from 1.5V to 0.6V, with operating frequency of 900MHz@1.1V and 25℃.
Content

CHAPTER 1 INTRODUCTION 1
1.1 BACKGROUND 1
1.2 MOTIVATION AND GOALS 2
1.3 THESIS ORGANIZATION 2
CHAPTER 2 OVERVIEW OF THE DESIGN OF 6T SRAM 4
2.1 MEMORY FAMILY 4
2.2 6T SRAM 5
2.2.1 Structure of 6T SRAM 5
2.2.2 Read Operation and Read Disturb of 6T SRAM 6
2.2.3 Hold Static Noise Margin and Read Static Noise Margin 8
2.2.4 Write Operation and Half-Selected Read Disturb of 6T SRAM 10
2.2.5 Write Static Noise Margin and Write Margin and AC Write Margin 12
2.2.6 The Size and Layout of 6T SRAM 14
2.3 SRAM ARRAY ARCHITECTURE 15
2.3.1 Memory Array 15
2.3.2 Differential Sensing and Large Signal Sensing Scheme 17
2.3.3 Non-Pipeline SRAM Design 21
2.3.4 Pipeline SRAM Design 22
2.4 GLOBAL VARIATION AND LOCAL VARIATION ISSUE 26
2.5 THE DESIGN METHODOLOGY OF 6T SRAM 28
2.5.1 Dual Supplies 29
2.5.2 Dynamic Bit-Line Level 31
2.5.3 Dynamic Word-Line Level 32
2.5.4 Negative Bit-Line Level 34
CHAPTER 3 DESIGN OF 1.0MB 6T PIPELINE SRAM WITH THREE STEP-UP WORD-LINE AND BIT-LINE UNDER DRIVE AND ADAPTIVE VOLTAGE DETECTOR SKILL 37
3.1 INTRODUCTION 37
3.2 PROPOSED BIT-LINE UNDER-DRIVE (BLUD) TECHNIQUE 40
3.3 PROPOSED THREE STEP-UP WORD-LINE (TSUWL) TECHNIQUE 47
3.4 PROPOSED ADAPTIVE VOLTAGE DETECTOR (AVD) TECHNIQUE 57
3.5 MACRO IMPLEMENTATION AND SIMULATION RESULT 58
3.6 TEST FLOW 69
3.7 IMPLEMENTATION AND MEASUREMENT RESULT OF TEST CHIP 70
CHAPTER 4 CONCLUSIONS 75
REFERENCE OF CHAPTER 2 76
REFERENCE OF CHAPTER 3 80
Reference of Chapter 2
[2-1] Adel S. Sedra, Kenneth C. Smith, “Microelectronic Circuits” 5rd ed. Oxford University Press, 2003.
[2-2] Ching-Te Chuang, S. Mukhopadhyay, Jae-Joon Kim, Keunwoo Kim, and R. Rao, “High-Performance SRAM in Nanoscale CMOS: Design Challenges and Techniques,” IEEE International Workshop on Memory Technology, Design and Testing, 2007, pp.4-12.
[2-3] T. Fischer, E. Amirante, P. Huber, T. Nirschl, A. Olbrich, M. Ostermayr, and D. Schmitt-Landsiedel, “Analysis of read current and write trip voltage variability from a 1-mb sram test structure,” IEEE Trans on Semiconductor Manufacturing, 2008, vol. 21, no.4, pp. 534–541.
[2-4] J. Wang, S. Nalam, and B.H. Calhoun, “Analyzing static and dynamic write margin for nanometer SRAMs,” IEEE International Symposium on Circuits and Systems, 2008, pp.129-134.
[2-6] Sridhar Ramalingam, Elakkumanan Praveen, Natarajan Sreedhar, “Tutorial 6: Design Challenges and Solutions for Nanoscale Memories”, IEEE International Symposium on Circuits and Systems, 2007, nil28 - nil29
[2-6] S. H Dhong, O. Takahashi, M. White, T. Asano, T. Nakazato, J. Silberman, A. Kawasumi, and H. Yoshihara, “A 4.8GHz fully pipelined embedded SRAM in the streaming processor of a CELL processor,” IEEE International Solid-State Circuits Conference, 2005, pp.486-612.
[2-7] A. Bhavanagarwala, X. Tang, and J. Meindl, “The impact of intrinsic device fluctuations on CMOS SRAM cell stability,” IEEE Journal of Solid State Circuits, Apr. 2001, vol. 36, no. 4, pp. 658-665.
[2-8] M. Yamaoka, and H. Onodera, “A Detailed Vth-Variation Analysis for Sub-100-nm Embedded SRAM Design,” IEEE International SOC Conference, 2006, pp.315-318.
[2-9] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, “A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits,” IEEE Journal of Solid-State Circuits , April 2007, vol.42, no.4, pp.820-829.
[2-10] J. Davis, D. Plass, P. Bunce, Y. Chan, A. Pelella, R. Joshi, A. Chen, W. Huott, T. Knips, P. Patel, K. Lo, and E. Fluhr, “A 5.6GHz 64kB Dual-Read Data Cache for the POWER6TM Processor,” IEEE International Solid-State Circuits Conference, 2006, pp.2564-2571.
[2-11] D. W. Plass, and Y. H. Chan, “IBM POWER6 SRAM arrays,” IBM Journal of Research and Development, Nov. 2007, vol.51, no.6, pp.747-756.
[2-12] J. Pille, C. Adams, T. Christensen, S. Cottier, S. Ehrenreich, T. Kono, D. Nelson, O. Takahashi, S. Tokito, O. Torreiter, O. Wagner, and D. Wendel, “Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V,” IEEE International Solid-State Circuits Conference, 2007, pp.322-606.
[2-13] J. Pille, C. Adams, T. Christensen, S. Cottier, S. Ehrenreich, T. Kono, D. Nelson, O. Takahashi, S. Tokito, O. Torreiter, O. Wagner, and D. Wendel, “Implementation of the Cell Broadband Engine™ in 65 nm SOI Technology Featuring Dual Power Supply SRAM Arrays Supporting 6 GHz at 1.3 V,” IEEE Journal of Solid-State Circuits, Jan. 2008, vol.43, no.1, pp.163-171.
[2-14] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, and Y. Nakase, H. Shinohara, “A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist,” Symposium on VLSI Circuits, 2009, pp.158-159.
[2-15] A. Raychowdhury, B. Geuskens, J. Kulkarni, J. Tschanz, K. Bowman, T. Karnik, Shih-Lien Lu, V. De, and M.M. Khellah, “PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction,” IEEE International Solid-State Circuits Conference, 2010, pp.352-353.
[2-16] K. Takeda, T. Saito, S. Asayama, Y. Aimoto, H. Kobatake, S. Ito, T. Takahashi, K. Takeuchi, M. Nomura, and Y. Hayashi, “Multi-step word-line control technology in hierarchical cell architecture for scaled-down high-density SRAMs,” IEEE Symposium on VLSI Circuits, 2010, pp.101-102.
[2-17] K. Takeda, T. Saito, S. Asayama, Y. Aimoto, H. Kobatake, S. Ito, T. Takahashi, K. Takeuchi, M. Nomura, and Y. Hayashi, “Multi-Step Word-Line Control Technology in Hierarchical Cell Architecture for Scaled-Down High-Density SRAMs,” IEEE Journal of Solid-State Circuits, April 2011, vol.46, no.4, pp.806-814.
[2-18] H. Yamauchi, “A Discussion on SRAM Circuit Design Trend in Deeper Nanometer-Scale Technologies,” IEEE Transactions on Very Large Scale Integration Systems, May 2010, vol.18, no.5, pp.763-774.
[2-19] K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, Y. Oda, K. Usui, T. Kawamura, N. Tsuboi, T. Iwasaki, K. Hashimoto, H. Makino, and H. Shinohara, “A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment,” IEEE Symposium on VLSI Circuits, 2008, pp.212-213.
[2-20] Y. Fujimura, O. Hirabayashi, T. Sasaki, A. Suzuki, A. Kawasumi, Y. Takeyama, K. Kushida, G. Fukano, A. Katayama, Y. Niki, and T. Yabe, “A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149µm2 cell in 32nm high-k metal-gate CMOS,” IEEE International Solid-State Circuits Conference, 2010, pp.348-349.
[2-21] H. Pilo, I. Arsovski, K. Batson, G. Braceras, J. Gabric, R. Houle, S. Lamphier, F. Pavlik, A. Seferagic, Liang-Yu Chen, Shang-Bin Ko, and C. Radens, “A 64Mb SRAM in 32nm High-k metal-gate SOI technology with 0.7V operation enabled by stability, write-ability and read-ability enhancements”, IEEE International Solid-State Circuits Conference, 2011, pp.254-256.
[2-22] M. Khellah, Nam Sung Kim, J. Howard, G. Ruhl, Yibin Ye, J. Tschanz, D. Somasekhar, N. Borkar, F. Hamzaoglu, G. Pandya, A. Farhang, K. Zhang, V. De, “A 4.2GHz 0.3mm2 256kb Dual-V/sub cc/ SRAM Building Block in 65nm CMOS,” IEEE International Solid-State Circuits Conference, 2006, pp.2572-2581.
[2-23] Kim Keejong, H. Mahmoodi, K. Roy, “A Low-Power SRAM Using Bit-Line Charge-Recycling,” IEEE Journal of Solid-State Circuits, Feb. 2008, vol.43, no.2, pp.446-459.
[2-24] M. Alam and S. Mahapatra, “A comprehensive model of PMOS NBTI degradation,” Microelectron. Reliab., vol. 45, no. 1, pp. 71–81, 2005.

Reference of Chapter 3
[3-1] International Technology Roadmap for Semiconductors, ITRS,
http://public.itrs.net
[3-2] Ching-Te Chuang, S. Mukhopadhyay, Jae-Joon Kim, Keunwoo Kim, and R. Rao, “High-Performance SRAM in Nanoscale CMOS: Design Challenges and Techniques,” IEEE International Workshop on Memory Technology, Design and Testing, 2007, pp.4-12.
[3-3] E. Josse, S. Parihar, O. Callen, P. Ferreira, C. Monget, A. Farcy, M. Zaleski, D. Villanueva, R. Ranica, M. Bidaud, D. Barge, C. Laviron, N. Auriac, C. Le Cam, S. Harrison, S.Warrick, F. Leverd, P. Gouraud, S. Zoll, F. Guyader, E. Perrin, E. Baylac, J. Belledent, B. Icard, B. Minghetti, S. Manakli, L. Pain, V. Huard, G. Ribes, K. Rochereau, S. Bordez, C. Blanc, A. Margain, D. Delille, R. Pantel, K. Barla, N. Cave, and M. Haond, “A cost-effective low-power platform for the 45-nm technology node,” International Electron Devices Meeting, 2006, pp. 1–4.
[3-4] H. Fukutome, Y. Momiyama, T. Kubo, E. Yoshida, H. Morioka, M. Tajima, and T. Aoyama, “Suppression of Poly-Gate-Induced Fluctuations in Carrier Profiles of Sub-50nm MOSFETs”, International Electron Devices Meeting, 2006, pp. 1–4.
[3-5] T. Hayashi, M. Mizutani, M. Inoue, J. Yugami, J. Tsuchimoto, M. Anma, S. Komori, K. Tsukamoto, Y. Tsukamoto, K. Nii, Y. Nishida, H. Sayama, T. Yamashita, H. Oda, T. Eimori, and Y. Ohji, “Vth-tunable CMIS platform with high-k gate dielectrics and variability effect for 45nm node,” International Electron Devices Meeting, 2005, pp. 906–909.
[3-6] Yi-Wei Lin, “A 55nm 6T SRAM with Variation-Tolerant Word-Line Under-Drive and Data-Aware Write-Assist,” master thesis of Department of Electronics Engineering of National Chiao Tung University, 2010.
[3-22] Chi-Shin Chang, “40nm 1.0Mb 6T Pipeline SRAM with Step-Up Word- Line and Adaptive-Data-Aware Write-Assist Design,” master thesis of Department of Electronics Engineering of National Chiao Tung University, 2011.
[3-7] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, M. Igarashi, M. Takeuchi, H. Kawashima, H. Makino, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, K. Ishibashi, and H. Shinohara, "A 65 nm SoC Embedded 6T-SRAM Design for Manufacturing with Read and Write Cell Stabilizing Circuits", Symposium on VLSI Circuits, 2006, pp.17-18.
[3-8] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, “A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits,” IEEE Journal of Solid-State Circuits, April 2007, vol.42, no.4, pp.820-829.
[3-9] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. lshikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, H. Shinohara, “A 45nm Low-Standby-Power Embedded SRAM with Improved Immunity Against Process and Temperature Variations,” IEEE International Solid-State Circuits Conference, 2007, pp.326-606.
[3-10] K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, S. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, “A 45-nm Bulk CMOS Embedded SRAM With Improved Immunity Against Process and Temperature Variations,” IEEE Journal of Solid-State Circuits, Jan. 2008, vol.43, no.1, pp.180-191.
[3-11] K. Takeda, T. Saito, S. Asayama, Y. Aimoto, H. Kobatake, S. Ito, T. Takahashi, K. Takeuchi, M. Nomura, and Y. Hayashi, “Multi-step word-line control technology in hierarchical cell architecture for scaled-down high-density SRAMs,” IEEE Symposium on VLSI Circuits, 2010, pp.101-102.
[3-12] K. Takeda, T. Saito, S. Asayama, Y. Aimoto, H. Kobatake, S. Ito, T. Takahashi, K. Takeuchi, M. Nomura, and Y. Hayashi, “Multi-Step Word-Line Control Technology in Hierarchical Cell Architecture for Scaled-Down High-Density SRAMs,” IEEE Journal of Solid-State Circuits, April 2011, vol.46, no.4, pp.806-814.
[3-13] A. Kawasumi, T. Yabe, Y. Takeyama, O. Hirabayashi, K. Kushida, A. Tohata, T. Sasaki, A. Katayama, G. Fukano, Y. Fujimura, and N. Otsuka, “A Single-Power-Supply 0.7V 1GHz 45nm SRAM with An Asymmetrical Unit-ß-ratio Memory Cell,” IEEE International Solid-State Circuits Conference, 2008, pp.382-622.
[3-14] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “A 3-GHz 70Mb SRAM in 65nm CMOS Technology with Integrated Column-Based Dynamic Power Supply,” IEEE International Solid-State Circuits Conference, 2005, pp. 474-475.
[3-15] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T. Kawahara, “Low-Power Embedded SRAM Modules with Expanded Margins for Writing,” IEEE International Solid-State Circuits Conference, 2005, pp. 480-481.
[3-16] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, H. Akamatsu, “A Stable SRAM Cell Design Against Simultaneously R/W Disturbed Accesses,” Symposium on VLSI Circuits, 2006, pp. 11-12.
[3-17] Meng-Fan Chang, Jui-Jen Wu, Kuang-Ting Chen, Hiroyuki Yamauchi, “A Differential Data Aware Power-supplied (D2AP) 8T SRAM Cell with Expanded Write/Read Stabilities for Lower VDDmin Applications,” Symposium on VLSI Circuits, 2009, pp. 156-157.
[3-18] Meng-Fan Chang, Jui-Jen Wu, Kuang-Ting Chen, Yung-Chi Chen, Yen-Hui Chen, R. Lee, Hung-Jen Liao, H. Yamauchi, “A Differential Data Aware Power-supplied (D2AP) 8T SRAM Cell with Expanded Write/Read Stabilities for Lower VDDmin Applications,” IEEE Journal of Solid-State Circuits, June 2010, vol.45, no.6, pp.1234-1245.
[3-19] Y. Fujimura, O. Hirabayashi, T. Sasaki, A. Suzuki, A. Kawasumi, Y. Takeyama, K. Kushida, G. Fukano, A. Katayama, Y. Niki, and T. Yabe, “A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149µm2 cell in 32nm high-k metal-gate CMOS,” IEEE International Solid-State Circuits Conference, 2010, pp.348-349.
[3-20] H. Pilo, I. Arsovski, K. Batson, G. Braceras, J. Gabric, R. Houle, S. Lamphier, F. Pavlik, A. Seferagic, Liang-Yu Chen, Shang-Bin Ko, and C. Radens, “A 64Mb SRAM in 32nm High-k metal-gate SOI technology with 0.7V operation enabled by stability, write-ability and read-ability enhancements”, IEEE International Solid-State Circuits Conference, 2011, pp.254-256.
[3-21] J. Pille, D. Wendel, O. Wagner, R. Sautter, W. Penth, T. Froehnel, S. Buetter, O. Torreiter, M. Eckert, J. Paredes, D. Hrusecky, D. Ray, M. Canada, “A 32kB 2R/1W L1 data cache in 45nm SOI technology for the POWER7TM processor,” IEEE International Solid-State Circuits Conference, 2010, pp.344-345.
[3-23] Kim Keejong, H. Mahmoodi, K. Roy, “A Low-Power SRAM Using Bit-Line Charge-Recycling,” IEEE Journal of Solid-State Circuits, Feb. 2008, vol.43, no.2, pp.446-459.
[3-24] Hao-I Yang, Yi-Wei Lin, Mao-Chih Hsia, Geng-Cing Lin, Chi-Shin Chang, Yin-Nien Chen, Ching-Te Chuang, Wei Hwang, Shyh-Jye Jou, Nan-Chun Lien, Hung-Yu Li, Kuen-Di Lee, Wei-Chiang Shih, Ya-Ping Wu, Wen-Ta Lee, and Chih-Chiang Hsu, “High-Performance 0.6V VMIN 55nm 1.0Mb 6T SRAM with Adaptive BL Bleeder,” Proc. 2012 IEEE International Symposium on Circuits and Systems (ISCAS), Seoul, Korea, May 20-23, 2012, pp. 1831-1834.
[3-25] Yi-Wei Lin, Hao-I Yang, Geng-Cing Lin, Chi-Shin Chang, Ching-Te Chuang, Wei Hwang, Chia-Cheng Chen, Willis Shih, Huan-Shun Huang, “A 55nm 0.55V 6T SRAM with Variation-Tolerant Dual-Tracking Word-Line Under-Drive and Data-Aware Write-Assist,” Proc. 2012 IEEE International Symposium on Low Power Electronics and Design (ISLPED), Redondo Beach, CA, USA, July 30 – August 1, 2012, pp. 79-84.
[3-26] Yi-Wei Lin, Ming-Chien Tsai, Hao-I Yang, Geng-Cing Lin, Shao-Cheng Wang, Ching-Te Chuang, Shyh-Jye Jou, Wei Hwang, Nan-Chun Lien, Kuen-Di Lee and Wei-Chiang Shih, “An All-Digital Read Stability and Write Margin Characterization Scheme for CMOS 6T SRAM Array,” 2012 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 23-25, 2012

連結至畢業學校之論文網頁點我開啟連結
註: 此連結為研究生畢業學校所提供,不一定有電子全文可供下載,若連結有誤,請點選上方之〝勘誤回報〞功能,我們會盡快修正,謝謝!
QRCODE
 
 
 
 
 
                                                                                                                                                                                                                                                                                                                                                                                                               
第一頁 上一頁 下一頁 最後一頁 top