|
[1] Y.-J. Chang, Y.-T. Lee, and T.-C. Wang. NTHU-Route 2.0: a fast and stable global router. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 338 343. IEEE, 2008. [2] M. Cho, Y. Ban, and D. Z. Pan. Double patterning technology friendly detailed routing. In Proceedings of IEEE/ACM International Conference on Computer Aided Design, pages 506 511. IEEE, 2008. [3] M. Cho and D. Z. Pan. BoxRouter: a new global router based on box expansion and progressive ilp. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(12):2130 2143, 2007. [4] C. Cork, J.-C. Madre, and L. Barnes. Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns. In Photomask and NGL Mask Technology XV, pages 702839 702839. International Society for Optics and Photonics, 2008. [5] K.-R. Dai, C.-H. Lu, and Y.-L. Li. GRPlacer: Improving routability and wirelength of global routing with circuit replacement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 351 356. ACM, 2009. [6] S.-Y. Fang, Y.-W. Chang, and W.-Y. Chen. A novel layout decomposition algorithm for triple patterning lithography. In Proceedings of ACM/IEEE Design Automation Conference, pages 1185 1190. ACM, 2012. [7] S.-Y. Fang, S.-Y. Chen, and Y.-W. Chang. Native-con ict and stitch-aware wire perturbation for double patterning technology. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(5):703 716, 2012. [8] J. Finders, M. Dusa, B. Vleeming, H. Megens, B. Hepp, M. Maenhoudt, S. Cheng, and T. Vandeweyer. Double patterning for 32nm and below: an update. In Advanced Lithography, pages 692408 692408. International Society for Optics and Photonics, 2008. [9] A. Frieze and M. Jerrum. Improved approximation algorithms for maxk-cut and max bisection. Algorithmica, 18(1):67 81, 1997. [10] X. Gao and L. Macchiarulo. Enhancing double-patterning detailed routing with lazy coloring and within-path con ict avoidance. In Proceedings of ACM/IEEE Design, Automation and Test in Europe, pages 1279 1284. European Design and Automation Association, 2010. [11] M. R. Garey, D. S. Johnson, and L. Stockmeyer. Some simpli ed NP-complete problems. In Proceedings of ACM Symposium on Theory of Computing, pages 47 63. ACM, 1974. [12] D. R. Gaur, R. Krishnamurti, and R. Kohli. The capacitated max k-cut problem. Mathematical Programming, 115(1):65 72, 2008. [13] R. S. Ghaida, K. B. Agarwal, S. R. Nassif, X. Yuan, L. W. Liebmann, and P. Gupta. Layout decomposition and legalization for double-patterning technology. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(2):202 215, 2013. [14] M. X. Goemans and D. Williamson. Approximation algorithms for MAX-3-CUT and other problems via complex semide nite programming. In Proceedings of ACM Symposium on Theory of Computing, pages 443 452. ACM, 2001. [15] X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Young. Ripple: an e ective routability-driven placer by iterative cell movement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 74 79. IEEE Press, 2010. [16] M.-K. Hsu, S. Chou, T.-H. Lin, and Y.-W. Chang. Routability-driven analytical placement for mixed-size circuit designs. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 80 84. IEEE Press, 2010. [17] J. Hu, J. A. Roy, and I. L. Markov. Completing high-quality global routes. In Proceedings of ACM International Symposium on Physical Design, pages 35 41. ACM, 2010. [18] ITRS. International technology roadmap for semiconductors, lithography, 2012. [19] A. B. Kahng, C.-H. Park, X. Xu, and H. Yao. Layout decomposition for double patterning lithography. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 465 472. IEEE Press, 2008. [20] A. B. Kahng, C.-H. Park, X. Xu, and H. Yao. Layout decomposition approaches for double patterning lithography. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(6):939 952, 2010. [21] M.-C. Kim, J. Hu, D.-J. Lee, and I. L. Markov. A SimPLR method for routability-driven placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 67 73. IEEE, 2011. [22] J. Kuang and E. F. Young. An e cient layout decomposition approach for triple patterning lithography. In Proceedings of ACM/IEEE Design Automation Conference, page 69. ACM, 2013. [23] Y.-L. Li, H.-Y. Chen, and C.-T. Lin. NEMO: A new implicit-connection-graph-based gridless router with multilayer planes and pseudo tile propagation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(4):705 718, 2007. [24] Y.-H. Lin and Y.-L. Li. Double patterning lithography aware gridless detailed routing with innovative con ict graph. In Proceedings of ACM/IEEE Design Automation Conference, pages 398 403. ACM, 2010. [25] Y.-H. Lin, B. Yu, D. Z. Pan, and Y.-L. Li. TRIAD: A triple patterning lithography aware detailed router. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 123 129. IEEE, 2012. [26] A.-f. Ling. A VNS metaheuristic with stochastic steps for max 3-cut and max 3-section. Mathematical Problems in Engineering, 2012, 2012. [27] W.-H. Liu, W.-C. Kao, Y.-L. Li, and K.-Y. Chao. Multi-threaded collision-aware global routing with bounded-length maze routing. In Proceedings of ACM/IEEE Design Automation Conference, pages 200 205. ACM, 2010. [28] W.-H. Liu, Y.-L. Li, and C.-K. Koh. A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 713 719. ACM, 2012. [29] W.-H. Liu, Y. Wei, C. Sze, C. J. Alpert, Z. Li, Y.-L. Li, and N. Viswanathan. Routing congestion estimation with real design constraints. In Proceedings of ACM/IEEE Design Automation Conference, page 92. ACM, 2013. [30] J. Lou, S. Thakur, S. Krishnamoorthy, and H. S. Sheng. Estimating routing congestion using probabilistic analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(1):32 41, 2002. [31] K. Lucas, C. Cork, B. Yu, G. Luk-Pat, B. Painter, A. Miloslavsky, and D. Z. Pan. Triple patterning in 10nm node metal lithography. 2012. [32] K. Lucas, C. Cork, B. Yu, G. Luk-Pat, B. Painter, and D. Z. Pan. Implications of triple patterning for 14nm node design and patterning. In Proc. SPIE, volume 8327, page 832703, 2012. [33] Q. Ma, H. Zhang, and M. D. Wong. Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology. In Proceedings of ACM/IEEE Design Automation Conference, pages 591 596. ACM, 2012. [34] L. McMurchie and C. Ebeling. PathFinder: a negotiation-based performance-driven router for fpgas. In Proceedings of ACM International Symposium on Field-programmable Gate Arrays, pages 111 117. ACM, 1995. [35] M. M. Ozdal and M. D. Wong. Archer: a history-based global routing algorithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(4):528 540, 2009. [36] M. Pan and C. Chu. FastRoute: a step to integrate global routing into placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 464 471. ACM, 2006. [37] M. Pan and C. Chu. IPR: an integrated placement and routing algorithm. In Proceedings of ACM/IEEE Design Automation Conference, pages 59 62. ACM, 2007. [38] J. A. Roy and I. L. Markov. Seeing the forest and the trees: Steiner wirelength optimization in placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(4):632 644, 2007. [39] J. A. Roy, N. Viswanathan, G.-J. Nam, C. J. Alpert, and I. L. Markov. CRISP: congestion reduction by iterated spreading during placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 357 362. IEEE, 2009. [40] H. Shojaei, A. Davoodi, and J. T. Linderoth. Congestion analysis for global routing via integer programming. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 256 262. IEEE Press, 2010. [41] J. Sun, Y. Lu, H. Zhou, and X. Zeng. Post-routing layer assignment for double patterning. In Proceedings of IEEE/ACM Asia and South Paci c Design Automation Conference, pages 793 798. IEEE Press, 2011. [42] H. Tian, H. Zhang, Q. Ma, Z. Xiao, and M. D. Wong. A polynomial time triple patterning algorithm for cell based row-structure layout. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 57 64. IEEE, 2012. [43] K. Tsota, C.-K. Koh, and V. Balakrishnan. Guiding global placement with wire density. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 212 217. IEEE Press, 2008. [44] J. Westra, C. Bartels, and P. Groeneveld. Probabilistic congestion prediction. In Proceedings of ACM International Symposium on Physical Design, pages 204 209. ACM, 2004. [45] Y. Xu and C. Chu. GREMA: graph reduction based e cient mask assignment for double patterning technology. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 601 606. IEEE, 2009. [46] Y. Xu and C. Chu. A matching based decomposer for double patterning lithography. In Proceedings of ACM International Symposium on Physical Design, pages 121 126. ACM, 2010. [47] J.-S. Yang, K. Lu, M. Cho, K. Yuan, and D. Z. Pan. A new graph-theoretic, multi-objective layout decomposition framework for double patterning lithography. In Proceedings of IEEE/ACM Asia and South Paci c Design Automation Conference, pages 637 644. IEEE, 2010. [48] B. Yu, K. Yuan, B. Zhang, D. Ding, and D. Z. Pan. Layout decomposition for triple patterning lithography. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 1 8. IEEE, 2011. [49] K. Yuan, K. Lu, and D. Z. Pan. Double patterning lithography friendly detailed routing with redundant via consideration. In Proceedings of ACM/IEEE Design Automation Conference, pages 63 66. IEEE, 2009. [50] K. Yuan and D. Z. Pan. WISDOM: Wire spreading enhanced decomposition of masks in double patterning lithography. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 32 38. IEEE, 2010. [51] K. Yuan, J.-S. Yang, and D. Z. Pan. Double patterning layout decomposition for simultaneous con ict and stitch minimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(2):185 196, 2010. [52] W. Zhu and C. Guo. A local search approximation algorithm for max-k-cut of graph and hypergraph. In Proceedings of IEEE International Symposium on Parallel Architectures, Algorithms and Programming, pages 236 240. IEEE,2011.
|