|
[1]J. M. Larson and J. P. Snyder, “Overview and status of metal s/d Schottky barrier MOSFET technology,” IEEE Trans. Electron Devices, vol. 53, pp. 1048-1058, May 2006. [2]H. Iwai, T. Ohguro, and S. Ohmi, “NiSi salicide technology for scaled CMOS,” Microelectron. Eng., vol. 60, no. 1-2, pp. 157-169, Jan. 2002. [3]R. T. Tung, “Recent advances in Schottky barrier concepts,” Mater. Sci. Eng. R., vol. 35, no. 1–3, pp. 1–138, Nov. 2001. [4]International Technology Roadmap for Semiconductor, 2013 edition. [5]J. Kedzierski, P. Xuan, E. H. Anderson, J. Bokor, T. J. King, and C. Hu, “Complementary silicide source/drain thin-body MOSFETs for the 20 nm gate length regime,” in IEDM Tech. Dig., 2000, pp. 57-60. [6]J. Luo, D. Wu, Z. Qiu, J. Lu, L. Hultman, M. O’stling, and S.-Li Zhang, “On different process schemes for MOSFETs with a controllable NiSi-based metallic source/drain,” IEEE Trans. Electron Devices, vol. 58, no. 7, pp. 1898-1906, Sep. 2011. [7]W. Lu, P. Xie and C. M. Lieber, “Nanowire transistor performance limits and applications,” IEEE Trans. Electron Devices, vol. 55, no. 11, pp. 2859-2876, Nov. 2008. [8]J.-W. Yang and J. G. Fossum, “On the feasibility of nanoscale triplegate CMOS transistors,” IEEE Trans. Electron Devices, vol. 52, no. 6, pp. 1159–1164, Jun. 2005. [9]H.-C. Lin and C.-J. Su, “High-performance poly-Si nanowire NMOS transistors,” IEEE Trans. Nanotechnol., vol. 6, no. 2, pp. 206–212, Mar. 2007. [10]T.-C. Liao, S.-W. Tu, M.-H. Yu, W.-K. Lin, C.-C. Liu, K.-J. Chang, Y.-H Tai, and H.-C. Cheng, ”Novel gate-all-around poly-Si TFTs with multiple nanowire channels,” IEEE Electron Device Lett., vol. 29, no. 8, pp. 889-891, Aug. 2008. [11]J.-T. Sheu, P.-C. Huang, T.-S. Sheu, C.-C. Chen, and L.-A. Chen, “Characteristics of gate-all-around twin poly-Si nanowire thin-film transistors,” IEEE Electron Device Lett., vol. 30, no. 2, pp. 139–141, Feb. 2009. [12]H. T. Ng, J. Han, T. Yamada, P. Nguyen, Y. P. Chen, and M. Meyyappan, “Single crystal nanowire vertical surround-gate field-effect transistor,” Nano Letters, vol. 4, no. 7, pp. 1247-1252, July, 2004. [13]Y. Wu, J. Xiang, C. Yang, W. Lu, and C. M. Lieber, “Single-crystal metallic nanowires and metal/semiconductor nanowire heterostructures,” Nature, vol. 430, pp. 61-65, Nov. July. 2004. [14]T.-Y. Liu, S.-C. Lo, and J.-T. Sheu, “Gate-all-around single-crystal-like poly-Si nanowire TFTs with a steep-subthreshold slope,” IEEE Electron Device Lett., vol. 34, no. 4, pp. 523-525, Apr. 2013. [15]T.-K. Kang, T.-C. Liao, C.-M. Lin, H.-W. Liu, and H.-C. Cheng, “High-performance single-crystal-like nanowire poly-Si TFTs with spacer patterning technique,” IEEE Electron Device Lett., vol. 32, no. 3, pp. 330-332, Mar. 2011. [16]H. Yan, et al., “Programmable nanowire circuits for nanoprocessors,” Nature, vol. 470, pp. 240-244, Feb. 2011. [17]C.-H. Shih, W.-Chang, Y.-X.Luo, J.-T. Liang, M.-K. Huang, N.-D.Chien, J.-K. Hsia, J.-J. Tsai, W.-F. Wu, and C.-H. Lien, “Schottky barrier silicon nanowire SONOS memory with ultralow programming and erasing voltages,” IEEE Electron Device Lett., vol. 32, no. 11, pp. 1477-1479, Nov. 2011. [18]J. P. Lu, D. Miles, J. Zhao, A. Gurba, Y. Xu, C. Lin, M. Hewson, J. Ruan, L. Tsung, R. Kuan, T. Grider, D. Mereer, and C. Montgomery, ”A novel nickel SALICIDE process technology for CMOS devices with sub-40 nm physical gate length,” in IEDM Tech. Dig., 2002, pp. 371-374. [19]Y. L. Jiang, et al., “Growth of pinhole-free ytterbium silicide film by solid-state reaction on Si(001) with a thin amorphous Si interlayer,” J. Appl. Phys., 102, 3, 033508, Aug 2007. [20]S. H. Song and S. A. Campbell, “The effect of composition on surface morphology, formation mechanism and pinhole generation of cosputtered ytterbium silicide,” Thin Solid Films, 517, 24, p.p. 6841-6846, Oct 2009. [21]S. Lee, D. Y. Kim, and T. W. Kim, “Dependence of structural and electrical properties on substrate temperature for annealed C54 TiSi2 thin films grown on p-Si substrates,” Jpn. J. Appl. Phys., vol. 42, no. 10, pp. 6323-6326, Oct. 2003. [22]J. B. Lasky, et al., “Comparison of transformation to low-resistivity phase and agglomeration of TiSi2 and CoSi2,” IEEE Trans. Electron Devices, 38, no. 2, pp. 262-269, Feb 1991. [23]Y.-L. Tian, “Microwave based technique for ultra-fast and ultra-high temperature thermal processing of compound semiconductors and nano-scale Si semiconductors,” in International Conference on Advanced Thermal Processing of Semiconductors (RTP), Sep. 2009, pp. 1-5. [24]Y.-J. Lee, T.-C. Cho, S.-S. Chuang, F.-K. Hsueh, Y.-L. Lu, P.-J. Sung, H.-C. Chen, M. I. Current, T.-Y. Tseng, T. S. Chao, and C. Hu, “Low-temperature microwave annealing processes for future IC fabrication-a review,” IEEE Trans. Electron Devices, vol. 61, no. 3, pp. 651-665, Mar. 2014. [25]K. Thompson, J.-H. Booske, Y. B. Gianchandani, and R. F. Cooper, “Electromagnetic annealing for the 100 nm technology node,” IEEE Electron Device Lett., vol. 23, no. 3, pp. 127-129, Mar. 2002. [26]K. Thompson, J.-H. Booske, R. F. Cooper, and Y. B. Gianchandani, “Electromagnetic fast-firing for ultra-shallow junction formation,” IEEE Trans. Semiconductor Manufacturing, vol. 16, no. 3, pp. 460-468, Aug. 2003. [27]J. H. Booske, R. F. Cooper, and I. Dobson, ”Mechanisms for nonthermal effects on ionic mobility during microwave processing of crystalline solids,” J. Mater. Res., vol. 7, pp.495-501, 1992. [28]T. L. Alford, et al., “Dopant activation in ion implanted silicon by microwave annealing,” J. Appl. Phys., vol. 106, no. 11, p.064 320, Mar. 2009. [29]F.-K. Hsueh, Y.-J. Lee, K.-L. Lin, M. I. Current, C.-Y. Wu, and T.-Y. Tseng, “Aomrphous-layer regrowth and activation of p and as implanted si by low-temperature microwave annealing,” IEEE Electron Device Lett., vol. 58, no. 7, pp. 2088-2093, Jul. 2011. [30]Y.-J. Lee, S.-S. Chuang, F.-K. Hsueh, H.-M. Lin, S.-C. Wu, C.-Y. Wu, and T.-Y. Tseng, “Dopant activation in single-crystalline germanium by low-temperature microwave annealing,” IEEE Electron Device Lett., vol. 32, no. 2, pp. 194-196, Feb. 2011. [31]J. H. Park, M. Tada, W. S. Jung, H. S. Wong, and K. C. Saraswat, “Metal induced dopant (boron and phosphorus) activation process in amorphous germanium for monolithic three-dimensional integration,” J. Appl. Phys., vol. 106, no. 7, pp. 074 510-1–074 510-6, Oct. 2009. [32]J. H. Park, M. Tada, D. Kuzum, P. Kapur, H.-Y. Yu, H.-S. P. Wong, and K. C. Saraswat, “Low temperature (<380°C) and high performance Ge CMOS technology with novel source/drain by metal-induced dopants activation and high-k/metal gate stack for monolithic 3D integration,” in IEDM Tech. Dig., 2008, pp. 389-392. [33]T. Wang, Y. Dai, Q. Dai, R. M. Y. Ng, W. T. Chan, P. Lee, and M. Chan, “Microwave plasma anneal to fabricate silicides and restrain the formation of unstable phases,” Proceedings of 2007 IEEE Conference on Electron Devices and Solid-State Circuits, December 20-22, 2007, pp. 609-612. [34]T. Wang, Y. B. Dai, and H.-D.Lee, “Fabrication of TiSi2 using microwave hydrogen plasma annealing,” J. Mater. Eng. Perform., vol. 14, no. 4, pp. 516-518, Aug. 2005. [35]Y.-J. Lee, et al., “3D 65nm CMOS with 320°C microwave dopant activation”, in IEDM Tech. Dig., 2009, pp. 31-34. [36]S. C. Fong, C. Y. Wang, T. H. Chang, and T. S. Chin, “Crystallization of amorphous Si film by microwave annealing with SiC susceptors,” Appl. Phys. Lett., vol. 94, 102104, Mar. 2009. [37]T. Yamaguchi, Y.Kawasaki, T. Yamashita, Y. Yamamoto, Y. Goto, J. Tsuchimoto, S. Kudo, K. Maekawa, M. Fujisawa, and M. Asai, “Low-resistive and homogenous NiPt-silicide formation using ultra-low temperature annealing with microwave system for 22 nm-node CMOS and beyond,” in IEDM Tech. Dig., 2010, pp. 576-579. [38]Y.-L. Lu, F.-K. Hsueh, K.-C. Huang, T.-Y. Cheng, J. M. Kowalski, Jeff E. Kowalski, Y.-J. Lee. T.-S. Chao, and C.-Y. Wu, ”Nanoscale p-MOS thin-film transistor with TiN gate electrode fabrication by low-temperature microwave dopant activation,” IEEE Electron Device Lett., vol. 31, no. 5, pp. 437-439, May. 2010. [39]Y.-J. Lee, S.-S. Chuang, C.-I. Liu, F.-K. Hsueh, P.-J.Sung, H.-C.Chen, C.-T.Wu, K.-L. Lin, J.-Y. Yao, Y.-L. Shen, M.-L. Kuo, C.-H. Yang, G.-L. Luo, H.-W. Chen, C.-H. Lai, M. I. Current, C.-Y. Wu, Y.-M. Wan, T.-Y. Tseng, Chenming Hu, and F. L. Yang, “Full low temperature microwave processed Ge CMOS achieving diffusion-less junction and ultrathin 7.5nm Ni mono-germanide,” in IEDM Tech. Dig., 2012, pp. 513-516. [40]C.-S. Fuh, P.-T. Liu, L.-F. Teng, S.-W. Huang, Y.-J. Lee, H.-P. D. Shieh, and S. M. Sze, ”Effects of microwave annealing on nitrogenated amorphous In-Ga-Zn-O thin-film transistor for low thermal budget process application,” IEEE Electron Device Lett., vol. 34, no. 9, pp. 1157-1159, Sep. 2013. [41]M.-S. Yeh, Y.-J. Lee, M.-F. Hung, K.-C. Liu, and Y.-C. Wu, “High-performance gate-all-around poly-Si thin-film transistors by microwave annealing with NH3 plasma passivation,” IEEE Trans. Nanotechnol., vol. 12, no. 4, pp. 636-640, Jul. 2013. [42]Y.-J. Lee, B.-A. Tsai, C.-H. Lai,, Z.-Y. Chen, F.-K. Hsueh, P.-J. Sung, M. I. Current, and C.-W. Luo, “Low-temperature microwave annealing for MOSFETs with high-k/metal gate stacks,” IEEE Electron Device Lett., vol. 34, no. 10, pp. 1286–1288, Oct. 2013. [43]Y.-J. Lee, et al., “ A novel junctionless FinFET structure with sub-5nm shell doping profile by molecular monolayer doping and microwave annealing,” in IEDM Tech. Dig., 2014, pp. 788-791. [44]Y.-R. Jhan, Y.-C. Wu, Y.-L. Wang, Y.-J. Lee, M.-F. Hung, H.-Y. Lin Y.-H. Chen, and M.-S. Yeh, “Low temperature microwave annealing for tunnel field-effect transistor,” IEEE Electron Device Lett., vol. 36, no. 2, pp. 105–107, Feb. 2015. [45]M. Ostling, V. Gudmundsson, P.-E. Hellstrom, B. G. Malm, Z. Zhang, and S.-L. Zhang, ”Towards Schottky-Barrier source/drain MOSFETs,” in Solid-State Integrated-Circuit Technology (ICSICT), Oct. 2008, pp. 146-149. [46]C.-H. Shih and S.-P. Yeh, “Device considerations and design optimizations for dopant segregated Schottky barrier MOSFETs,” Semicond. Sci. Technol., vol. 23, no.12, pp. 125033, Dec. 2008. [47]Z. Qiu, Z. Zhang, M. Ostling, and S.-L. Zhang, “A comparative study of two different schemes to dopant segregation at NiSi/Si and PtSi/Si interfaces for Schottky barrier height lowering,” IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 396-403, Jan. 2008. [48]M. Nishisaka, Y. Ochiai, and T. Asano, “Pt-Si source and drain SOI-MOSFET operating in bi-channel mode,” in Proc. Device Res. Conf., 1998, pp. 74-75. [49]J. Piscator and O. Engström, “Schottky barrier modulation on silicon nanowires,” Appl. Phys. Lett., vol. 90, 132107, Feb. 2007. [50]B.-Y. Tsui and C.-P. Lin, “Process and characteristics of modified Schottky barrier (MSB) p-channel FinFETs,” IEEE Trans. Electron Devices, vol. 52, no. 11, pp. 2455-2461, Nov. 2005. [51]S. Zhu, J. Chen, M.-F. Li, S. J. Lee, Jagar Singh, C. X. Zhu, Anyan Du, C. H. Tung, Albert Chin, and D. L. Kwong, “N-type Schottky barrier source/drain MOSFET using ytterbium silicide,” IEEE Electron Device Lett., vol. 25, no. 8, pp. 565-567, Aug. 2004. [52]Z. Felix M, P. Sebastian, R. Lotta, O. Jörg, W. W, M. T, B. Larysa, and C. Gianaurelio, “Schottky barrier-based silicon nanowire pH sensor with live sensitivity control,” Journal Nanoscale Res., vol. 7: 2, pp. 263-271, Feb. 2014. [53]K. Uchida, K. Matsuzawa, J. Koga, S.-I. Takagi, and A. Toriumi, “Enhancement of hot-electron generation rate in Schottky source metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett., vol. 76, no. 26, pp. 3992-3994, Jun. 2000. [54]G. Larrieu, D. A. Yarekha, E. Dubois, N. Breil, and O. Faynot, “Arsenic-segregated rare-earth silicide junctions: reduction of Schottky barrier and integration in metallic n-MOSFETs on SOI,” IEEE Electron Device Lett., vol. 30, no. 12, pp. 1266-1268, Dec. 2009. [55]J. Knoch, M. Zhang, Q. T. Zhao, S. Lenk, S. Lenk, S. Mantl, and J. Appenzeller, “Effective Schottky barrier lowering in silicon-on-insulator Schottky barrier metal-oxide-semiconductor field effect transistors using dopant segregation,” Appl. Phys. Lett., vol. 87, no. 26, p. 263505-1-263505-3, Dec. 2005. [56]A. Afzalian and D. Flandre, “Discrete random dopant fluctuation impact on nanoscale dopant-segregated Schottky nanowires,” IEEE Electron Device Lett., vol. 33, no. 9, pp. 1228-1230, Sep. 2012. [57]R. Vega and T.-J. Liu, “DSS MOSFET with tunable SDE regions by fluorine pre-silicidation ion implant,” IEEE Electron Device Lett., vol. 31, no. 8, pp. 785-787, Aug. 2010. [58]Z. Zhang, Z. Qiu, R. Liu, M. Ostling, and S.-L. Zhang, “Schottky-barrier height tuning by means of ion implantation into preformed silicide films followed by drive-in anneal,” IEEE Electron Device Lett., vol. 28, no. 7, pp. 565-567, Jul. 2007. [59]S. Waidmann, V. Kahlert, C. Streck, P. Press, T. Kammler, K. Dittmar, Zienert, and J. Rinderknecht, ”Tuning nickel silicide properties using a lamp based RTA, a heat conduction based RTA or a furnace anneal,’’ Microelectronic Engineering, vol. 83, pp. 2282-2286, 2006. [60]A. Falepin, T. Janssens, S. Severi, W. Vandervorst, S. B. Felch, V. Parihar, and A. Mayur, “Ultra‐shallow junctions formed by sub‐melt laser annealing,” in the International Conference on Advanced Thermal Processing of Semiconductors (RTP), Oct. 2005, pp. 87-91. [61]K. R. C. Mok, S. H. Yeong, B. Colombeau, F. Benistant, C. H. Poon, L. Chan, and M. P. Srinivasan, “Experimental and simulation study of the flash lamp annealing for boron ultra-shallow junction formation and its stability,” Mater. Sci. Eng. B, vol. 154, pp. 14-19, Dec. 2008. [62]C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, and J.-P. Colinge, “Junctionless multigate field-effect transistor,” Appl. Phys. Lett., vol. 94, no. 5, pp. 053511-2, Feb. 2009. [63]J.-P. Colinge, C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, P. Razavi, B. O’Neill, A. Blake, M. White, A.-M. Kelleher, B. McCarthy, and R. Murphy, “Nanowire transistors without junctions,” Nat. Nanotechnol., vol. 5, no. 3, pp. 225-229, Mar. 2010. [64]S.-J. Choi, D.-I. Moon, J. P. Duarte, S. Kim, and Y.-K. Choi, “A novel junctionless all-around-gate SONOS device with a quantum nanowire on a bulk substrate for 3D stack NAND flash memory,” in Proc. VLSI Technol. Symp. Tech. Dig., 2011, pp. 74-75. [65]C.-J. Su, T.-I Tsai, Y.-L. Liou, Z.-M. Lin, H.-C. Lin, and T.-S. Chao, “Gate-all-around junctionless transistors with heavily doped polysilicon nanowire channels,” IEEE Electron Device Lett., vol. 32, no. 4, pp. 521-523, Apr. 2011. [66]M. Valdinoci, L. Colalongo, G. Baccarani, G. Fortunato, A. Pecora, and I. Policicchio, “Floating body effects in polysilicon thin-film transistors,” IEEE Trans. Electron Devices, vol. 44, no. 12, pp. 2234-2241, Dec. 1997. [67]S. Gundapaneni, M. Bajaj, R. K. Pandey, K. V. R. M. Murali, S. Ganguly, and A. Kottantharayil, “Effect of band-to-band tunneling on junctionless transistors,” IEEE Trans. Electron Devices, vol. 59, no. 4, pp. 1023-1029, Apr. 2012. [68]R. Yu, A. N. Nazarov, V. S. Lysenko, S. Das, I. Ferain, P. Razavi, M. Shayesteh, A. Kranti, R. Duffy, and J.-P. Colinge, “Impact ionization induced dynamic floating body effect in junctionless transistors,” Solid-State Electron., vol. 90, pp. 28-33, Dec. 2013. [69]P. Kumar, C. Sahu, A. Shrivastava, P. N. Kondekar, and J. Singh, “Characteristics of gate inside junctionless transistor with channel length and doping concentration,” in the Conference on Electron Devices and Solid-State Circuits (EDSSC), 2013, pp. 1-2. [70]C.-H. Shih, W. Chang, W.-F. Wu, and C. Lien, “Multi-level Schottky barrier nanowire SONOS memory with ambipolar N- and P-channel cells,” IEEE Trans. Electron Devices, vol. 59, no. 6. pp. 1614-1620, Jun. 2012. [71]M. Dunga, A. Kumar, and V. Ramgopal Rao, “Analysis of floating body effects in thin film SOI MOSFETs using the GIDL current technique,” in Proc. 8th IPFA, 2001, pp. 254-257. [72]F. Deng, R. A. Johnson, W. B. Dubbelday, G. A. Garcia, P. M. Asbeck, and S. S. Lau, “Deep salicidation using nickel for suppressing the floating body effect in partially depleted SOI-MOSFET,” in International SOI Conference, Oct. 1996, pp.78-79. [73]M. Nishisaka and T. Asano, ”Reduction of the floating body effect in SOI MOSFETs by using Schottky source/drain contacts,” Jpn. J. Appl. Phys., 37 (1998) 1295. [74]P.-Y. Kuo, T.-S.Chao, and T.-F. Lei, “Suppression of the floating-body effect in poly-Si thin-film transistors with self-aligned Schottky barrier source and ohmic body contact structure,” IEEE Electron Device Lett., vol. 25, no. 9, pp. 634-636, Sep. 2004. [75]S.-J. Choi, D.-I. Moon, S. Kim, J. P. Duarte, and Y.-K. Choi, “Sensitivity of threshold voltage to nanowire width variation in junctionless transistors,” IEEE Electron Device Lett., vol. 32, no. 2, pp. 125-127, Feb. 2011. [76]C.-J. Su, T.-I. Tsai, H.-C. Lin, T.-Y. Huang, and T.-S. Chao, “Low-temperature poly-Si nanowire junctionless devices with gate-all-around TiN/Al2O3 stack structure using an implant-free technique,” Nanoscale Research Lett., vol. 7: 339, pp. 1-6, Jun. 2012.
|