跳到主要內容

臺灣博碩士論文加值系統

(44.201.72.250) 您好!臺灣時間:2023/09/24 04:48
字體大小: 字級放大   字級縮小   預設字形  
回查詢結果 :::

詳目顯示

: 
twitterline
研究生:何宸宇
研究生(外文):He, Chen-Yu
論文名稱:次奈秒讀寫及次毫瓦每千兆赫茲之32Kb 5T靜態隨機存取記憶體和靜態隨機存取記憶體內運算之多位元緩衝器設計
論文名稱(外文):A Sub-ns-Access with Sub-mW/GHz 32Kb 5T SRAM Implementation and A Multi-Bit Buffer Design of ADC Input for In-SRAM Computing Architecture
指導教授:周世傑周世傑引用關係
指導教授(外文):Jou, Shyh-Jye
口試委員:周世傑劉建男黃柏蒼
口試委員(外文):Jou, Shyh-JyeLiu, Chien-NanHuang, Po-Tsang
口試日期:2019-08-30
學位類別:碩士
校院名稱:國立交通大學
系所名稱:電子研究所
學門:工程學門
學類:電資工程學類
論文種類:學術論文
論文出版年:2019
畢業學年度:108
語文別:英文
論文頁數:83
中文關鍵詞:靜態隨機存取記憶體低功耗且高速操作記憶體內運算可重組式架構
外文關鍵詞:SRAMlow power and high speedIn-Memory ComputingReconfigurable architecture
相關次數:
  • 被引用被引用:0
  • 點閱點閱:235
  • 評分評分:
  • 下載下載:1
  • 收藏至我的研究室書目清單書目收藏:0
本論文分為兩個部分,其中之一為低功耗高速隨機存取記憶體設計。本論文提出新的5T 記憶體細胞搭配多組暫態調變的電壓源,並採用緊密的細胞陣列和開放式字元線架構,以達到略小於記憶體編譯器的核心面積大小。於靜態和讀寫操作時,透過輔助電路和暫態調變電壓源來分別優化速度、功耗和讀寫可靠性。並透過局部追蹤電路的設計,確保控制信號在製程、電壓和溫度的漂移下仍擁有正確的操作時間。於28奈米的CMOS製程下,量測結果顯示出正確的讀寫功能且透過進一步的電路修正,模擬結果可達到次奈秒讀寫及次毫瓦每千兆赫茲。
本論文第二部份為記憶體內運算的多位元緩衝器設計,由於靜態隨機存取記憶體細胞只有單位元的存取能力,因此我們透過安排儲存位元的方式並設計多位元緩衝器,以此提出輸入、參數和輸出皆可選擇的可重組式記憶體運算內架構。多位元緩衝器採用雙級轉導放大器形成的閉迴路單位增益緩衝器。於28奈米的CMOS製程下,模擬結果證明此架構可於製程、電壓和溫度飄移下,仍維持正確的功能。
This thesis has two portions, one is low power and high speed SRAM design. In this design, we propose a new 5T SRAM cells with multiple power supply voltages pulsed. Besides, we apply compact array architecture and open-BL to have smaller core area as compared to memory compiler. In idle, read or write mode, using assistant circuits and adaptive supply voltages of cells to optimize speed, power and reliability, respectively. Moreover, the design of tracking circuit is to ensure control correctness within PVT variation. In 28nm CMOS technology, the measurement results show the correct function. After the enhanced key modules design, the simulation results show the performance of sub-ns access with sub-mW/GHz.
The second portion of this thesis is designs of multi-bit buffer in In-Memory Computing. Because SRAM cells can just store single bit data, we arrange the storing order of data and have multi-bit buffers to propose the reconfigurable architecture. This architecture can provide optional bit number of input, weigh and output. In buffer designs, we apply Two stage OTA to form a closed loop unity-gain buffer. In 28nm CMOS technology, simulation results show the function correctness within PVT variation.
Chapter 1 Introduction 1
1.1 Motivation and Goals 1
1.2 Thesis Organization 2
Chapter 2 Overview of Embedded SRAM Design 3
2.1 The Architecture of SRAM array 3
2.1.1 Non-Bit-Interleaving Architecture 4
2.1.2 Bit-Interleaving Architecture 5
2.1.3 Hierarchy Bit-Line and Ripple Bit-Line 6
2.1.4 Large Signal and Differential Signal Sensing Scheme 8
2.1.5 Pipelined Design 11
2.2 The Overview of SRAM Assistant Circuits 12
2.2.1 Adaptive Supply Voltage of Cells 12
2.2.2 Boost Word-Line Voltage 13
2.2.3 Two Step Word-Line Voltage 14
2.2.4 Double Metal Word-Line 15
2.2.5 Bit-Line Under Drive 16
2.2.6 Negative Write Bit-Line 17
2.3 Summary 18
Chapter 3 Sub-0.5V Low Power and High Speed 32Kb 5T SRAM Design 19
3.1 Functional Block of the Proposed 5T SRAM 20
3.1.1 The Operation Scheme of 5T SRAM Cells 20
3.1.2 The Array Architecture of 5T SRAM 25
3.2 The Design of 5T SRAM Peripheral Circuits 30
3.2.1 Slow Boosted Word-Line Voltage 30
3.2.2 Short Bit-Line and Delayed Hierarchical Switch 34
3.2.3 Adaptive Read Write Power Supply for 5T SRAM Cells 38
3.2.4 Replica Circuits for Tracking and Control 46
3.3 Measurement Results of Chip 1 and Simulation Results of Chip 2 49
3.3.1 Measurement Results of Chip 1 49
3.3.2 Simulation Results of Chip 2 50
3.4 Summary 52
Chapter 4 Designs of Multi-Bit Buffer for ADC Input Stage in A Reconfigurable In-Memory Computing Architecture 53
4.1 The Overview of In-Memory Computing (IMC) 53
4.1.1 Multiply and Accumulation (MAC) and IMC 53
4.1.2 Column-Based and Row-Based MAC with IMC 55
4.1.3 Multi-Bit MAC with IMC 58
4.2 The Proposed Reconfigurable IMC Architecture 61
4.2.1 The Design Concept of Reconfigurable IMC Architecture 61
4.2.2 The Operation Scheme of ADC Input Buffer 63
4.3 The Design of Multi-Bit Buffer for ADC Input Stage 65
4.3.1 Specification 65
4.3.2 Circuit Design 66
4.4 Simulation Results 71
4.4.1 Floor Plan and Layout 71
4.4.2 Post-Layout Simulations 73
4.5 Summary 77
Chapter 5 Conclusions 78
Reference 80
[1] M. Tu, J. Lin, M. Tsai, S. Jou and C. Chuang, "Single-Ended Subthreshold SRAM With Asymmetrical Write/Read-Assist," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 12, pp. 3039-3047, Dec. 2010.
[2] M. Tu et al., "A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing," IEEE J. Solid-State Circuits, vol. 47, no. 6, pp. 1469-1482, June 2012.
[3] S. Nalam and B. H. Calhoun, "5T SRAM With Asymmetric Sizing for Improved Read Stability," IEEE J. Solid-State Circuits, vol. 46, no. 10, pp. 2431-2442, Oct. 2011.
[4] D. Jeon et al., "A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS," IEEE J. Solid-State Circuits, vol. 52, no. 6, pp. 1628-1642, June 2017.
[5] L. Lu, T. Yoo, L. Van Loi and T. T. Kim, "An Ultra-low Power 8T SRAM with Vertical Read Word Line and Data Aware Write Assist," IEEE Asian Solid-State Circuits Conference (A-SSCC), Tainan, pp. 1-2, Nov. 2018.
[6] R. Baumann, “Soft errors in advanced semiconductor devices—part i: the three radiation sources,” Device and Material Reliability, IEEE Transactions on, vol. 1, no. 1, pp. 17-22, Mar. 2001
[7] S. Baeg, S. Wen, and R. Wong, "SRAM Interleaving Distance Selection With a Soft Error Failure Model," Nuclear Science, IEEE Transactions on, vol.56, no.4, pp. 2111–2118, Aug. 2009.
[8] B.D. Yang and L.S. Kim, “A low power SRAM using hierarchical bit line and local sense amplifiers,” Solid-State Circuits, IEEE Journal of, vol. 40, no. 6, pp. 1366–1376, Jun. 2005.
[9] C.Y. Lu, M.H. Tu, H.I. Yang, Y.P. Wu, H.S. Huang, Y.J. Lin, K.D. Lee, Y.S. Kao, C.-T. Chuang, S.J. Jou, and W. Hwang, “A 0.33 V, 500 kHz, 3.94 μW 40 nm 72 Kb 9 T subthreshold SRAM with ripple bit-line structure and negative bit-line write-assist,” Circuits and Systems II: Express Briefs, IEEE Transactions on, vol. 59, no. 12, Dec. 2012.
[10] W.N. Liao, N.C. Lien, C.S. Chang, L.W. Chu, H.I. Yang, C.T. Chuang, S.J. Jou, W. Hwang, M.H. Tu, H.S. Huang, J.H. Wang, P.S. Kan, Y.J. Hu, "A 40nm 1.0Mb 6T pipeline SRAM with digital-based Bit-Line Under-Drive, Three-Step-Up Word-Line, Adaptive Data-Aware Write-Assist with VCS tracking and Adaptive Voltage Detector for boosting control," in Proc. IEEE Int. SOC Conf. (SOCC), pp. 110–115, Sept. 2013.
[11] N. Verma and A. Chandrakasan, “A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy,” Solid-State Circuits, IEEE Journal of, vol. 43, no. 1, pp. 141–149, Jan. 2008.
[12] Z. Guo, D. Kim, S. Nalam, J. Wiedemer, X. Wang, E. Karl, “A 23.6Mb/mm2 SRAM in 10nm FinFET Technology with Pulsed PMOS TVC and Stepped-WL for Low-Voltage Applications”, IEEE International Solid-State Circuits Conference (ISSCC), pp. 196-197, Feb. 2018.
[13] J. Chang, Y.H. Chen, W.M. Chan, S.P. Singh, H. Cheng, H. Fujiwara, J.Y. Lin, K.C. Lin, J. Hung, R. Lee, H.J. Liao, J.J. Liaw, Q. Li, C.Y. Lin, M.C. Chiang, S.Y. Wu, “A 7nm 256Mb SRAM in High-K Metal-Gate FinFET Technology with Write-Assist Circuitry for Low-VMIN Applications”, IEEE International Solid-State Circuits Conference (ISSCC), pp. 206-207, Feb. 2017.
[14] H.I. Yang, Y.W. Lin, M.C. Hsia, G.C. Lin, C.S. Chang, Y. Ni. Chen, C.T. Chuang, W. Hwang, S.J. Jou, N.C. Lien, H.Y. Li, K.D. Lee, W.C. Shih, Y.P. Lee, W. Ta, C.C. Hsu, "High-performance 0.6V VMIN 55nm 1.0Mb 6T SRAM with adaptive BL bleeder," IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1831–1834, May 2012.
[15] S. Mukhopadhyay, R. Rao, J. J. Kim, C. T. Chuang, “Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving write-ability of SRAM design in nanometer technologies" IEEE International Symposium on Circuits and Systems (ISCAS), pp. 384 – 387, May 2008.
[16] K. Itoh, K.A. Shaik, A. Amara, “0.5-V sub-ns open-BL SRAM array with mid-point-sensing multi-power 5T cell”, IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2892-2895, June 2015.
[17] K.H. Tang and S.J. Jou, “A Sub-ns-Access Sub-mW/GHz 28nm 0.45V 32Kb 5T SRAM Implementation and In Memory Computing Architecture”, June 2019.
[18] A. Krizhevsky, I. Sutskever, G. E. Hinton, “ImageNet Classification with Deep Convolutional Neural Networks”, Advances in Neural Information Processing System (NIPS), Jan. 2012.
[19] M. D. Zeiler, R. Fergus, “Visualizing and Understanding Convolutional Networks”, Computer Vision-ECCV, vol. 8689, pp. 818-833, Nov. 2013.
[20] A. Biswas, A.P. Chandrakasan, “Conv-RAM: An Energy-Efficient SRAM with Embedded Convolution Computation for Low-Power CNN-Based Machine Learning Applications”, IEEE International Solid-State Circuits Conference (ISSCC), pp. 488-489, Feb. 2018.
[21] S.K. Gonugondla, M. Kang, N. Shanbhag, “A 42pJ/Decision 3.12TOPS/W Robust In-Memory Machine Learning Classifier with On-Chip Training”, IEEE International Solid-State Circuits Conference (ISSCC), pp. 490-491, Feb. 2018.
[22] X. Si, J.J. Chen, Y.N. Tu, W.H. Huang, J.H. Wang, Y.C. Chiu, W.C. Wei, S.Y. Wu, X. Sun, R. Liu, S. Yu, R.S. Liu, C.C. Hsieh, K.T. Tang, Q. Li, M.F. Chang, “A Twin-8T SRAM Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning”, IEEE International Solid-State Circuits Conference (ISSCC), pp. 396-397, Feb. 2019.
[23] M.A. G. Lorenzo, A.A. S. Manzano, M.T. A. Gusad, J.R. E. Hizon, M. D. Rosales, “Design and Implementation of CMOS Rail-to-Rail Operational Amplifiers”, IEEE International Symposium on Communications and Information Technologies (ISCIT), pp. 61-66, Oct. 2007.
[24] D. Marano, G. Palumbo, S. Pennisi, “A New Compact Low-Power High-Speed Rail-to-Rail Class-B Buffer for LCD Applications”, IEEE, J. Display Technology, vol. 6, pp. 184-190, May 2010.
[25] M.H. Hamzah, A.B. Jambek, U. Hashim, “Design and analysis of a two-stage CMOS op-amp using Silterra's 0.13 μm technology”, IEEE Symposium on Computer Applications and Industrial Electronics (ISCAIE), pp. 55-59, April 2014.
連結至畢業學校之論文網頁點我開啟連結
註: 此連結為研究生畢業學校所提供,不一定有電子全文可供下載,若連結有誤,請點選上方之〝勘誤回報〞功能,我們會盡快修正,謝謝!
QRCODE
 
 
 
 
 
                                                                                                                                                                                                                                                                                                                                                                                                               
第一頁 上一頁 下一頁 最後一頁 top
無相關期刊
 
1. 應用於60GHz頻帶10Gbps單一載波基頻之脈波成形和同相與正交分量不平衡及直流偏壓準位偏移聯合補償設計
2. 工作於次奈秒讀取且次毫瓦每千兆赫茲之28奈米製程0.45伏電壓之32Kb 5T之靜態隨機存取記憶體與記憶體內運算架構
3. 毫米波頻帶之單一使用者多輸入多輸出混合式預編碼與結合器設計
4. 毫米波之單一載波基頻接收機運用機器學習方法聯合補償類比前端不理想效應之架構
5. 建構與應用特殊語詞資訊於文件重排序之研究
6. 應用相關回饋之醫學字詞資訊於醫學查詢擴展之方法
7. 60 GHz 頻帶基於濾波器組多載波技術與循環前綴正交分頻多工加權重疊累加技術之數位基頻接收機設計及效能評估
8. 應用於卷積神經網路的高平行度電阻式隨機存取記憶體內運算架構
9. 應用於卷積神經網路之可重組式具內部運算功能的靜態隨機存取記憶體
10. 設計應用於低功率深度神經網路之電阻式記憶體的類比運算模組
11. 應用於高吞吐量無線通信系統之多速率LDPC解碼器的設計與實現
12. IEEE802.11ay之單一使用者多輸入多輸出混合式波束成形系統之時域數位預編碼設計
13. 用於非線性與記憶性功率放大器之毫米波基頻發射機的低複雜度擬直接學習數位預補償架構
14. 次世代巨量天線徑分多重接取蜂巢式系統中手機同步及基地台搜尋方法之模擬與實現
15. 應用語意分析資訊於相關回饋以進行文件分類之方法